• 제목/요약/키워드: gate silicide

검색결과 66건 처리시간 0.023초

나노급 다결정 실리콘 기판 위에 형성된 니켈실리사이드의 물성과 미세구조 (Property and Microstructure Evolution of Nickel Silicides on Nano-thick Polycrystalline Silicon Substrates)

  • 김종률;최용윤;송오성
    • 한국산학기술학회논문지
    • /
    • 제9권1호
    • /
    • pp.16-22
    • /
    • 2008
  • 10nm Ni/30 nm와 70nm poly Si/200nm $SiO_2/Si(100)$ 구조로부터 니켈실리사이드의 열적안정성을 연구하기 위해서 쾌속열처리기를 이용하여 실리사이드화 온도 $300{\sim}1100^{\circ}C$에서 40초간 열처리하여 실리사이드를 제조하였다. 준비된 실리사이드의 면저항값 변화, 미세구조, 상 분석, 표면조도 변화를 각각 사점면저항측정기, FE-SEM, TEM, HRXRD, SPM을 활용하여 확인하였다. 30 nm 다결정실리콘 기판 위에 형성된 실리사이드는 $900^{\circ}C$까지 열적안정성이 있었다. 반면에 70 nm 다결정실리콘 기판 위에 형성된 실리사이드는 기존연구결과와 동일한 $700^{\circ}C$ 이상에서 고저항상인 $NiSi_2$로 상변화 하였다. HRXRD로 확인한 결과, 30 nm 두께의 기판 위에 니켈실리사이드는 $900^{\circ}C$ 고온에서도 NiSi상이 유지되다가 $1000^{\circ}C$에서 $NiSi_2$로 상변화 하였다. FE-SEM 과 TEM 관찰결과, 30 nm 두께의 다결정실리콘 기판에서는 $700^{\circ}C$의 저온처리에는 잔류 다결정실리콘 없이 매우 균일하고 평탄한 40 nm의 NiSi가 형성되었고, $1000^{\circ}C$에는 선폭 $1.0{\mu}m$급의 미로형 응집상이 생성됨을 확인하였다. 70 nm 두께의 다결정실리콘 기판에서는 불균일한 실리 사이드 형성과 잔류 다결정실리콘이 존재하였다. SPM결과에서 전체 실험구간에서의 RMS 표면조도 값도 17nm 이하로 CMOS공정의 FUSI게이트 적용의 가능성을 보여주었다. 다결정실리콘 게이트의 높이를 감소시키면 니켈실리사이드는 상안정화가 용이하며 저저항구간을 넓힐 수 있는 장점이 있었다.

SADS(Siliide As Diffusion Source)법으로 형성한 코발트 폴리사이트 게이트의 C-V특성 (C-V Characteristics of Cobalt Polycide Gate formed by the SADS(Silicide As Diffusion Source) Method)

  • 정연실;배규식
    • 한국전기전자재료학회논문지
    • /
    • 제13권7호
    • /
    • pp.557-562
    • /
    • 2000
  • 160nm thick amorphous Si and polycrystalline Si were each deposited on to 10nm thick SiO$_2$, Co monolayer and Co/Ti bilayer were sequentially evaporated to form Co-polycide. Then MOS capacitors were fabricated by BF$_2$ ion-implantation. The characteristics of the fabricated capacitor samples depending upon the drive-in annel conductions were measured to study the effects of thermal stability of CoSi$_2$and dopant redistribution on electrical properties of Co-polycide gates. Results for capacitors using Co/Ti bilayer and drive-in annealed at 80$0^{\circ}C$ for 20~40sec. showed excellent C-V characteristics of gate electrode.

  • PDF

A Study on the Optimization of the Layout for the ESD Protection Circuit in O.18um CMOS Silicide Process

  • Lim Ho Jeong;Park Jae Eun;Kim Tae Hwan;Kwack Kae Dal
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2004년도 학술대회지
    • /
    • pp.455-459
    • /
    • 2004
  • Electrostatic discharge(ESD) is a serious reliability concern. It causes approximately most of all field failures of integrated circuits. Inevitably, future IC technologies will shrink the dimensions of interconnects, gate oxides, and junction depths, causing ICs to be increasingly susceptible to ESD-induced damage [1][2][3]. This thesis shows the optimization of the ESD protection circuit based on the tested results of MM (Machine Model) and HBM (Human Body Model), regardless of existing Reference in fully silicided 0.18 um CMOS process. His thesis found that, by the formation of silicide in a source and drain contact, the dimensions around the contact had a less influence on the ESD robustness and the channel width had a large influence on the ESD robustness [8].

  • PDF

얇은 게이트 산화막 $30{\AA}$에 대한 박막특성 개선 연구 (A study on Improvement of $30{\AA}$ Ultra Thin Gate Oxide Quality)

  • 엄금용
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.421-424
    • /
    • 2004
  • As the deep sub-micron devices are recently integrated high package density, novel process method for sub $0.1{\mu}m$ devices is required to get the superior thin gate oxide characteristics and reliability. However, few have reported on the electrical quality and reliability on the thin gate oxide. In this paper I will recommand a novel shallow trench isolation structure for thin gate oxide $30{\AA}$ of deep sub-micron devices. Different from using normal LOCOS technology, novel shallow trench isolation have a unique 'inverse narrow channel effects' when the channel width of the devices is scaled down shallow trench isolation has less encroachment into the active device area. Based on the research, I could confirm the successful fabrication of shallow trench isolation(STI) structure by the SEM, in addition to thermally stable silicide process was achiever. I also obtained the decrease threshold voltage value of the channel edge and the contact resistance of $13.2[\Omega/cont.]$ at $0.3{\times}0.3{\mu}m^2$. The reliability was measured from dielectric breakdown time, shallow trench isolation structure had tile stable value of $25[%]{\sim}90[%]$ more than 55[sec].

  • PDF

Novel properties of erbium-silicided n-type Schottky barrier metal-oxide-semiconductor field-effect-transistors

  • Jang, Moon-Gyu;Kim, Yark-Yeon;Shin, Jae-Heon;Lee, Seong-Jae;Park, Kyoung-Wan
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제4권2호
    • /
    • pp.94-99
    • /
    • 2004
  • silicided 50-nm-gate-length n-type Schottky barrier metal-oxide-semiconductor field-effect-transistors (SB-MOSFETs) with 5 nm gate oxide thickness are manufactured. The saturation current is $120{\mu}A/{\mu}m$ and on/off-current ratio is higher than $10^5$ with low leakage current less than $10{\mu}A/{\mu}m$. Novel phenomena of this device are discussed. The increase of tunneling current with the increase of drain voltage is explained using drain induced Schottky barrier thickness thinning effect. The abnormal increase of drain current with the decrease of gate voltage is explained by hole carrier injection from drain into channel. The mechanism of threshold voltage increase in SB-MOSFETs is discussed. Based on the extracted model parameters, the performance of 10-nm-gate-length SB-MOSFETs is predicted. The results show that the subthreshold swing value can be lower than 60 mV/decade.

아몰퍼스실리콘의 결정화에 따른 복합티타늄실리사이드의 물성변화 (Property of Composite Titanium Silicides on Amorphous and Crystalline Silicon Substrates)

  • 송오성;김상엽
    • 마이크로전자및패키징학회지
    • /
    • 제13권1호통권38호
    • /
    • pp.1-5
    • /
    • 2006
  • 반도체 메모리 소자의 스피드 향상을 위해 저저항 배선층을 채용하는 방안으로 70 nm-두께의 아몰퍼스실리콘과 폴리실리콘 기판부에 $TiSi_2$ 타켓으로 각각 80 nm 두께의 TiSix 복합실리콘을 스퍼터링으로 증착한 후 RTA $800^{\circ}C$-20sec 조건으로 실리사이드화 처리하고 사진식각법으로 선폭 $0.5{\mu}m$의 배선층을 만들었다. 배선층에 대해 다시 각각 $750^{\circ}C-3hr,\;850^{\circ}C-3hr$의 부가적인 안정화 열처리를 실시하였으며, 이때의 면저항의 변화는 four-point probe로 실리사이드층의 미세구조와 수직단면 두께 변화를 주사전자현미경과 투과전자현미경으로 관찰하였다. 아몰퍼스실리콘 기판인 경우 후속열처리에 따른 결정화 진행과 함께 급격한 면저항의 증가가 확인되었고, 이 원인은 결정화 과정에서 실리콘과 복합티타늄실리사이드 층과의 상호확산으로 표면 공공(void)을 형성한 것으로 미세구조 관찰에서 확인되었다. 따라서 복합티타늄실리사이드의 하지층의 종류와 열처리 조건을 바꾸어 저저항 또는 고저항 실리사이드를 조절하여 제작하는 것이 가능하여 복합 $TiSi_2$를 저저항 배선층 재료로 채용할 수 있음을 확인하였다.

  • PDF

W-polycide 게이트 구조에서 텅스텐 실리사이드 증착 방법에 따른 게이트 산화막의 내압 특성 (Breakdown Characteristics of Gate Oxide with W-Silicide Deposition Methodes of W-polycide Gate Structures)

  • 정회환;정관수
    • 한국진공학회지
    • /
    • 제4권3호
    • /
    • pp.301-305
    • /
    • 1995
  • 습식 분위기로 성장한 게이트 산화막 위에 다결정 실리콘(poly-Si)과 텅스텐 폴리사이드(WSix/poly-Si)게이트 전극을 형성하여 제작한 금속-산화물-반도체(metal-oxide-semiconductor:MOS)의 전기적 특성을 순간 절연파괴(time zero dielectric breakdown: TZDB)로 평가하였다. 텅스텐 폴리사이드 게이트 전극에 따른 게이트 산화막의 평균 파괴정계는 다결정 실리콘 전극보다 1.93MV/cm 정도 낮았다. 텅스텐 폴리사이드 게이트 전극에서 게이트 산화막의 B model(1-8 MV/cm)불량률은 dry O2 분위기에서 열처리함으로써 증가하였다. 이것은 열처리함으로써 게이트 전극이 silane(SiH4)에 의한 것보다 B mode 불량률이 감소하였다. 그것은 dichlorosilane 환원에 의한 텅스텐 실리사이드내의 불소 농도가 silane에 의한 것보다 낮기 때문이다.

  • PDF

SiC MOSFET 소자에서 금속 게이트 전극의 이용 (Metal Gate Electrode in SiC MOSFET)

  • 방욱;송근호;김남균;김상철;서길수;김형우;김은동
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 하계학술대회 논문집
    • /
    • pp.358-361
    • /
    • 2002
  • Self-aligned MOSFETS using a polysilicon gate are widely fabricated in silicon technology. The polysilicon layer acts as a mask for the source and drain implants and does as gate electrode in the final product. However, the usage of polysilicon gate as a self-aligned mask is restricted in fabricating SiC MOSFETS since the following processes such as dopant activation, ohmic contacts are done at the very high temperature to attack the stability of the polysilicon layer. A metal instead of polysilicon can be used as a gate material and even can be used for ohmic contact to source region of SiC MOSFETS, which may reduce the number of the fabrication processes. Co-formation process of metal-source/drain ohmic contact and gate has been examined in the 4H-SiC based vertical power MOSFET At low bias region (<20V), increment of leakage current after RTA was detected. However, the amount of leakage current increment was less than a few tens of ph. The interface trap densities calculated from high-low frequency C-V curves do not show any difference between w/ RTA and w/o RTA. From the C-V characteristic curves, equivalent oxide thickness was calculated. The calculated thickness was 55 and 62nm for w/o RTA and w/ RTA, respectively. During the annealing, oxidation and silicidation of Ni can be occurred. Even though refractory nature of Ni, 950$^{\circ}C$ is high enough to oxidize it. Ni reacts with silicon and oxygen from SiO$_2$ 1ayer and form Ni-silicide and Ni-oxide, respectively. These extra layers result in the change of capacitance of whole oxide layer and the leakage current

  • PDF

텅스텐 실리사이드를 차세대 게이트 전극으로 이용한 MOS 소자의 특성 분석 (Characteristics of Metal-Oxide- Semiconductor (MOS) Devices with Tungsten Silicide for Alternate Gate Metal)

  • 노관종;윤선필;양성우;노용한
    • 대한전자공학회논문지SD
    • /
    • 제38권7호
    • /
    • pp.513-519
    • /
    • 2001
  • Si 과다 텅스텐 실리사이드를 초미세 MOS 소자의 대체 게이트 전극으로 제안하였다. SiO₂위에 텅스텐 실리사이드를 직접 증착하고 급속 열처리를 수행한 결과 낮은 저항을 얻고 불소(F) 확산 또한 무시할 수 있음을 확인하였다. 특히, 800 ℃, 진공 분위기에서 3분간 급속 열처리한 텅스텐 실리사이드의 경우 비저항이 ∼160 μΩ·cm이었고, 불소확산에 의한 산화막의 불균일한 성장도 발견할 수 없었다. 또한, WSix-SiO₂-Si (MOS) 캐패시터의 전기적 특성 분석 결과도 우수하였다.

  • PDF