• Title/Summary/Keyword: etch process

Search Result 553, Processing Time 0.031 seconds

A study of the GaN etch properties using inductively coupled Cl$_2$-based plasmas (유도 결합형 Cl$_2$계 플라즈마를 이용한 GaN 식각 특성에 관한 연구)

  • 김현수;이재원;김태일;염근영
    • Journal of the Korean institute of surface engineering
    • /
    • v.32 no.2
    • /
    • pp.83-92
    • /
    • 1999
  • GaN etching was performed using planar inductively coupled $Cl_2$-based plasmas and the effects of main process parameters on the characteristics of the plasmas and their relations to GaN etch rates were studied. Also, the GaN etch mechanism was investigated using a Langmuir probe and optical emission spectroscopy (OES) during the etching, and X-ray photoelectron spectroscopy (XPS) of the etched surfaces. The GaN etch rates increased with the increase of chlorine radical density and ion energy, and a vertical etch profile haying the etch rate close to 4000 $\AA$/min could be obtained. The addition of 10% Ar to $Cl_2$ gas increased the GaN etch rate and the addition of Ar (more than 20%) and HBr generally reduced the GaN etch rate. The GaN etch rate appeared to be more affected by the chemical reaction between Cl radicals and GaN compared to the physical sputtering itself under the sufficient ion bombardments to break GaN bonds.

  • PDF

The Characteristics of Plasma Polymerized Carbon Hardmask Film Prepared by Plasma Deposition Systems with the Variation of Temperature

  • Yang, J.;Ban, W.;Kim, S.;Kim, J.;Park, K.;Hur, G.;Jung, D.;Lee, J.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.381.1-381.1
    • /
    • 2014
  • In this study, we investigated the deposition behavior and the etch resistivity of plasma polymerized carbon hardmask (ppCHM) film with the variation of process temperature. The etch resistivity of deposited ppCHM film was analyzed by thickness measurement before and after direct contact reactive ion etching process. The physical and chemical properties of films were characterized on the Fourier transform infrared (FT-IR) spectroscope, Raman spectroscope, stress gauge, and ellipsometry. The deposition behavior of ppCHM process with the variation of temperature was correlated refractive index (n), extinction coefficient (k), intrinsic stress (MPa), and deposition rate (A/s) with the hydrocarbon concentration, graphite (G) and disordered (D) peak by analyzing the Raman and FT-IR spectrum. From this experiment we knew an optimal deposition condition for structure of carbon hardmask with the higher etch selectivity to oxide. It was shown the density of ppCHM film had 1.6~1.9 g/cm3 and its refractive index was 1.8~1.9 at process temperature, $300{\sim}600^{\circ}C$. The etch selectivity of ppCHM film was shown about 1:4~1:8 to undoped siliconoxide (USG) film (etch rate, 1300 A/min).

  • PDF

Actinometric Investigation of In-Situ Optical Emission Spectroscopy Data in SiO2 Plasma Etch

  • Kim, Boom-Soo;Hong, Sang-Jeen
    • Transactions on Electrical and Electronic Materials
    • /
    • v.13 no.3
    • /
    • pp.139-143
    • /
    • 2012
  • Optical emission spectroscopy (OES) is often used for real-time analysis of the plasma processes. OES has been suggested as a primary plasma process monitoring tool. It has the advantage of non-invasive in-situ monitoring capability but selecting the proper wavelengths for the analysis of OES data generally relies on empirically established methods. In this paper, we propose a practical method for the selection of OES wavelength peaks for the analysis of plasma etch process and this is done by investigating reactants and by-product gas species that reside in the plasma etch chamber. Wavelength selection criteria are based on the standard deviation and correlation coefficients. Moreover, chemical actinometry is employed for the normalization of the selected wavelengths. We also present the importance of chemical actinometry of OES data for quantitative analysis of plasma. Then, the suggested OES peak selection method is employed.. This method is used to find out the reason behind abnormal etching of PR erosion during a series of $SiO_2$ etch processes using the same recipe. From the experimental verification, we convinced that OES is not only capable for real-time detection of abnormal plasma process but it is also useful for the analysis of suspicious plasma behavior.

A Study for the Improvement of Torn Oxide Defects in Shallow Trench Isolation-Chemical Mechanical Polishing (STI-CMP) Process (STI--CMP 공정에서 Torn oxide 결함 해결에 관한 연구)

  • 서용진;정헌상;김상용;이우선;이강현;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.1
    • /
    • pp.1-5
    • /
    • 2001
  • STI(shallow trench isolation)-CMP(chemical mechanical polishing) process have been substituted for LOCOS(local oxidation of silicon) process to obtain global planarization in the below sub-0.5㎛ technology. However TI-CMP process, especially TI-CMP with RIE(reactive ion etching) etch back process, has some kinds of defect like nitride residue, torn oxide defect, etc. In this paper, we studied how to reduced torn oxide defects after STI-CMP with RIE etch back processed. Although torn oxide defects which can occur on trench area is not deep and not severe, torn oxide defects on moat area is not deep and not severe, torn oxide defects on moat area is sometimes very deep and makes the yield loss. Thus, we did test on pattern wafers which go through trench process, APECVD process, and RIE etch back process by using an IPEC 472 polisher, IC1000/SUVA4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the origin of torn oxide defects.

  • PDF

Etching Characteristics of HfAlO3 Thin Films Using an Cl2/BCl3/Ar Inductively Coupled Plasma

  • Ha, Tae-Kyung;Woo, Jong-Chang;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.11 no.4
    • /
    • pp.166-169
    • /
    • 2010
  • In this study, we changed the etch parameters (gas mixing ratio, radio frequency [RF] power, direct current [DC]-bias voltage, and process pressure) and then monitored the effect on the $HfAlO_3$ thin film etch rate and the selectivity with $SiO_2$. A maximum etch rate of 108.7 nm/min was obtained in $Cl_2$ (3 sccm)/$BCl_3$ (4 sccm)/Ar (16 sccm) plasma. The etch selectivity of $HfAlO_3$ to $SiO_2$ reached 1.11. As the RF power and the DC-bias voltage increased, the etch rate of the $HfAlO_3$ thin film increased. As the process pressure increased, the etch rate of the $HfAlO_3$ thin films increased. The chemical state of the etched surfaces was investigated with X-ray photoelectron spectroscopy. According to the results, the etching of $HfAlO_3$ thin film follows the ion-assisted chemical etching.

Characterization of via etch by enhanced reactive ion etching

  • Bae, Y.G.;Park, C.S.
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.14 no.6
    • /
    • pp.236-243
    • /
    • 2004
  • The oxide etching process was characterized in a magnetically enhanced reactive ion etching (MERIE) reactor with a $CHF_3CF_4$ gas chemistry. A statistical experimental design plus one center point was used to characterize relationships between process factors and etch response. The etch response modeled are etch rate, etch selectivity to TiN and uniformity. Etching uniformity was improved with increasing $CF_4$ flow ratio, increasing source power, and increasing pressure depending on source power. Characterization of via etching in $CHF_3CF_4$ MERIE using neural networks was successfully executed giving to highly valuable information about etching mechanism and optimum etching condition. It was found that etching uniformity was closely related to surface polymerization, DC bias, TiN and uniformity.

Etching Characteristics of Au Film using Capacitively Coupled CF4/Ar Plasma

  • Kim, Gwang-Beom;Hong, Sang-Jeen
    • Journal of the Speleological Society of Korea
    • /
    • no.82
    • /
    • pp.1-4
    • /
    • 2007
  • In this paper, the etching of Au films using photoresist masks on Si substrates was investigated using a capacitively coupled plasma etch reactor. The advantages of plasma etch techniques over current methods for Au metalization include the ability to simplify the metalization process flow with respect to resist lift-off schemes, and the ability to cleanly remove etched material without sidewall redeposition, as is seen in ion milling. The etch properties were measured for different gas mixing ratios of CF4/Ar, and chamber pressures while the other conditions were fixed. According to statistical design of experiment (DOE), etching process of Au films was characterized and also 20 samples were fabricated followed by measuring etch rate, selectivity and etch profile. There is a chemical reaction between CF4 and Au. Au- F is hard to remove from the surface because of its high melting point. The etching products can be sputtered by Ar ion bombardment.

Laser-induced Thermochemical Wet Etching of Titanium for Fabrication of Microstructures (레이저 유도 열화학 습식에칭을 이용한 티타늄 미세구조물 제조)

  • 신용산;손승우;정성호
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.21 no.4
    • /
    • pp.32-38
    • /
    • 2004
  • Laser-induced thermochemical wet etching of titanium in phosphoric acid has been investigated to examine the feasibility of this method fur fabrication of microstructures. Cutting, drilling, and milling of titanium foil were carried out while examining the influence of process parameters on etch width, etch depth, and edge straightness. Laser power, scanning speed of workpiece, and etchant concentration were chosen as major process parameters influencing on temperature distribution and reaction rate. Etch width increased almost linearly with laser power showing little dependence on scanning speed while etch depth showed wide variation with both laser power and scanning speed. A well-defined etch profile with good surface quality was obtained at high concentration condition. Fabrication of a hole, micro cantilever beam, and rectangular slot with dimension of tess than 100${\mu}{\textrm}{m}$ has been demonstrated.

Dry Etch Process Development for TFT-LCD Fabrication Using an Atmospheric Dielectric Barrier Discharge

  • Choi, Shin-Il;Kim, Sang-Gab;Choi, Seung-Ha;Kim, Shi-Yul;Kim, Sang-Soo;Lee, Seung-Hun;Kwon, Ho-Cheol;Kim, Gon-Ho
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2008.10a
    • /
    • pp.1272-1275
    • /
    • 2008
  • We present the development of dry etch process for the liquid crystal display (LCD) fabrication using a dielectric barrier discharge (DBD) system at atmospheric pressure. In this experimental work, the dry etch characteristics and the electrical properties of thin film transistor are evaluated by using the scanning electron microscopy and electric probe, and TFT-LCD panel ($300\;mm\;{\times}\;400\;mm$) is manufactured with the application of the amorphous silicon etch step in the 4 mask and 5 mask processes.

  • PDF

Fabrication of SOI structures whit buried cavities by SDB and elelctrochemical etch-stop (SDB와 전기화학적 식각정지에 의한 매몰 cavity를 갖는 SOI구조의 제작)

  • 강경두;정수태;류지구;정재훈;김길중;정귀상
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.11a
    • /
    • pp.579-582
    • /
    • 2000
  • This paper described on the fabrication of SOI(Si-on-insulator) structures with buried cavities by SDB technology and eletrochemical etch-stop. The cavity was fabricated the upper handling wafer by Si anisotropic etch technique. SDB process was performed to seal the fabricated cavity under vacuum condition at -760mmHg. In the SDB process, captured air and moisture inside of the cavities were removed by making channels towards outside. After annaling(100$0^{\circ}C$, 60 min.), the SDB SOI structure was thinned by electrochemical etch-stop. Finally, it was fabricated the SDB SOI structure with buried cavities as well as an accurate control and a good flatness.

  • PDF