• 제목/요약/키워드: etch

검색결과 1,365건 처리시간 0.033초

Endpoint Detection in Semiconductor Etch Process Using OPM Sensor

  • Arshad, Zeeshan;Choi, Somang;Jang, Boen;Hong, Sang Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.237.1-237.1
    • /
    • 2014
  • Etching is one of the most important steps in semiconductor manufacturing. In etch process control a critical task is to stop the etch process when the layer to be etched has been removed. If the etch process is allowed to continue beyond this time, the material gets over-etched and the lower layer is partially removed. On the other hand if the etch process is stopped too early, part of the layer to be etched still remains, called under-etched. Endpoint detection (EPD) is used to detect the most accurate time to stop the etch process in order to avoid over or under etch. The goal of this research is to develop a hardware and software system for EPD. The hardware consists of an Optical Plasma Monitor (OPM) sensor which is used to continuously monitor the plasma optical emission intensity during the etch process. The OPM software was developed to acquire and analyze the data to perform EPD. Our EPD algorithm is based on the following theory. As the etch process starts the plasma generated in the vacuum is added with the by-products from the etch reactions on the layer being etched. As the endpoint reaches and the layer gets completely removed the plasma constituents change gradually changing the optical intensity of the plasma. Although the change in optical intensity is not apparent, the difference in the plasma constituents when the endpoint has reached leaves a unique signature in the data gathered. Though not detectable in time domain, this signature could be obscured in the frequency spectrum of the data. By filtering and analysis of the changes in the frequency spectrum before and after the endpoint we could extract this signature. In order to do that, first, the EPD algorithm converts the time series signal into frequency domain. Next the noise in the frequency spectrum is removed to look for the useful frequency constituents of the data. Once these useful frequencies have been selected, they are monitored continuously in time and using a sub-algorithm the endpoint is detected when significant changes are observed in those signals. The experiment consisted of three kinds of etch processes; ashing, SiO2 on Si etch and metal on Si etch to develop and evaluate the EPD system.

  • PDF

E-ICP에 의한 산화막 식각특성 (Oxide etching characteristics of Enhanced Inductively Coupled Plasma)

  • 조수범;송호영;박세근;오범환
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2000년도 하계종합학술대회 논문집(2)
    • /
    • pp.298-301
    • /
    • 2000
  • We investigated the etch rate of SiO$_2$ in E-ICP, ICP system and the addition gas (O$_2$H$_2$) effect on SiO$_2$ etch characteristics. In all conditions, E-ICP shows higher etch rate than ICP. Small amount of O$_2$ addition increase F atom and O$\^$*/ concentration. at optimized condition (30% O$_2$ in CF$_4$, 70Hz) E-ICP system shows highest etch rate (about 6000${\AA}$). H$_2$addition in CF$_4$ Plasma make abrupt decrease Si etch rate and moderate decrease SiO$_2$ etch rate.

  • PDF

Selective Growth of Carbon Nanotubes using Two-step Etch Scheme for Semiconductor Via Interconnects

  • Lee, Sun-Woo;Na, Sang-Yeob
    • Journal of Electrical Engineering and Technology
    • /
    • 제6권2호
    • /
    • pp.280-283
    • /
    • 2011
  • In the present work, a new approach is proposed for via interconnects of semiconductor devices, where multi-wall carbon nanotubes (MWCNTs) are used instead of conventional metals. In order to implement a selective growth of carbon nanotubes (CNTs) for via interconnect, the buried catalyst method is selected which is the most compatible with semiconductor processes. The cobalt catalyst for CNT growth is pre-deposited before via hole patterning, and to achieve the via etch stop on the thin catalyst layer (ca. 3nm), a novel 2-step etch scheme is designed; the first step is a conventional oxide etch while the second step chemically etches the silicon nitride layer to lower the damage of the catalyst layer. The results show that the 2-step etch scheme is a feasible candidate for the realization of CNT interconnects in conventional semiconductor devices.

Enhanced-Inductively Coupled Plasma (E-ICP)를 이용한 Silylated photoresist 식각공정개발 (The Development of Silylated Photoresist Etch Process by Enhanced- Inductively Coupled Plasma)

  • 조수범;김진우;정재성;오범환;박세근;이종근
    • 한국전기전자재료학회논문지
    • /
    • 제15권3호
    • /
    • pp.227-232
    • /
    • 2002
  • The silylated photoresist etch process was tested by enhanced-ICP. The comparison of the two process results of micro pattern etching with $0.35\mu\textrm{m}$ CD by E-ICP and ICP reveals that I-ICP has bettor quality than ICP. The etch rate and the RIE lag effect was improved in E-ICP. Especially, the problem of the lateral etch was improved in E-ICP.

습식식각공정에 의한 High Speed용 AlGaAs/GaAs 적외선 LED 소자의 특성 (The Properties of High Speed AlGaAs/GaAs Infrared LED by using Metal wet etch process)

  • 이철진;라용춘;성만영;이은철
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1995년도 추계학술대회 논문집 학회본부
    • /
    • pp.352-354
    • /
    • 1995
  • The optical and electrical properties of High Speed AlGaAs infrared LED by using metal wet etch process instead of metal lift-off process are investigated. The power out increases when metal contact is patterned by wet etch process. Forward voltage and Reverse voltage for metal wet etch process represent higher value than the metal lift-off process. The aging effect of power out also indicates good results with wet etch process. The wet etch process for metal contact reveals reliable LED device properties.

  • PDF

인가 바이어스 조건이 전기화학적 식각정지 특성에 미치는 영향 (Effects of Applied Bias Conditions on Electrochemical Etch-stop Characteristics)

  • 정귀상;강경두;김태송;이원재;송재성
    • 한국전기전자재료학회논문지
    • /
    • 제14권4호
    • /
    • pp.263-268
    • /
    • 2001
  • This paper describes the effects of applied bias conditions on electrochemical etch-stop characteristics. THere are a number of key issues such as diode leakage and ohmic losses which arise when applying the conventional 3-electrochemical etch-stop to fabricated some of he MEMS(microelectro mechanical system) and SOI(Si-on-insulator) structures which employ SDB(Si-wafer direct bonding). This work allows to perform anin situ diagnostic to predict whether or not an electrochemical etch-stop would fail due to diode-leakage-induced premature passivation. In addition, it presents technology which takes into account the effects of ohmic losses and allows to calculate the appropriate bias necessary to obtain a successful electrochemical etch-stop.

  • PDF

Quantitative Analysis for Plasma Etch Modeling Using Optical Emission Spectroscopy: Prediction of Plasma Etch Responses

  • Jeong, Young-Seon;Hwang, Sangheum;Ko, Young-Don
    • Industrial Engineering and Management Systems
    • /
    • 제14권4호
    • /
    • pp.392-400
    • /
    • 2015
  • Monitoring of plasma etch processes for fault detection is one of the hallmark procedures in semiconductor manufacturing. Optical emission spectroscopy (OES) has been considered as a gold standard for modeling plasma etching processes for on-line diagnosis and monitoring. However, statistical quantitative methods for processing the OES data are still lacking. There is an urgent need for a statistical quantitative method to deal with high-dimensional OES data for improving the quality of etched wafers. Therefore, we propose a robust relevance vector machine (RRVM) for regression with statistical quantitative features for modeling etch rate and uniformity in plasma etch processes by using OES data. For effectively dealing with the OES data complexity, we identify seven statistical features for extraction from raw OES data by reducing the data dimensionality. The experimental results demonstrate that the proposed approach is more suitable for high-accuracy monitoring of plasma etch responses obtained from OES.

Via Contact 형성을 위한 산화막 식각공정의 신경망 모델 (Neural Network Models of Oxide Film Etch Process for Via Contact Formation)

  • 박종문;권성구;박건식;유성욱;배윤구;김병환;권광호
    • 한국전기전자재료학회논문지
    • /
    • 제15권1호
    • /
    • pp.7-14
    • /
    • 2002
  • In this paper, neutral networks are used to build models of oxide film etched In CHF$_3$/CF$_4$ with a magnetically enhanced reactive ion etcher(MERIE). A statistical 2$\^$4-1/ experimental design plus one center point was used to characterize relationships between process factors and etch responses. The factors that were varied include radio frequence(rf) power, pressure, CHF$_3$ and CF$_4$ flow rates. Resultant 9 experiments were used to train neural networks and trained networks were subsequently tested on its appropriateness using additionally conducted 8 experiments. A total of 17 experiments were thus conducted for this modeling. The etch responses modeled are dc bias voltage, etch rate and etch uniformity A qualitative, good agreement was obtained between predicted and observed behaviors.

에치홀의 위치와 희생층의 잔류물이 전송선 필터 응답에 미치는 영향 (Effect of Etch Hole Position and Sacrificial Layer Residue on a Novel Half-Coaxial Transmission Line Filter)

  • 김용성;백창욱;김용권
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2007년도 제38회 하계학술대회
    • /
    • pp.284-285
    • /
    • 2007
  • In this paper, we present the effect on a novel transmission line filter response by the etch hole position on the suspended ground and the residue on the resonator under ground plane. We defined the etch hole offset as the distance from the sidewall of the suspended ground to the nearest side of the etch holes. We simulated new filter responses to reflect the real value of the changed etch hole offset caused by characteristics of negative photoresist. Return loss is distorted by the residue on the center conductor remained after sacrificial layer removing. By comparison of simulation and measurements, we concluded the residue on the resonator distorted the RF response worse than etch hole offset variation did.

  • PDF

유도결합 $Cl_2$$HBr/Cl_2$ 플라즈마를 이용한 STI용 실리콘 Shallow trench 식각공정에 관한 연구 (A study on the silicon shallow trench etch process for STI using inductively coupled $Cl_2$ and TEX>$HBr/Cl_2$ plasmas)

  • 이주훈;이영준;김현수;이주욱;이정용;염근영
    • 한국진공학회지
    • /
    • 제6권3호
    • /
    • pp.267-274
    • /
    • 1997
  • 고밀도 유도결합 $Cl_2$ 및 HBr/$Cl_2$ 플라즈마를 이용하여 차세대 반도체 집적회로에 사용가능한 STI(Shallow Trench Isolation)구조에서 trench 식각시 trench etch profile 및 격자손상에 영향을 미치는 공정변수의 효과에 대하여 연구하였다. 식각결과 $Cl_2$만을 사용한 경우에는 trench 식각공정 동안 화학적 측면식각의 증가로 인하여 등방성 식각이 얻어지고 이는 유도입력 전력이 증가하고 바이어스 전압이 감소함에 따라 이의 경향이 증가하였다. 측면식각의 정도는 $Cl_2$$N_2$$O_2$의 첨가에 따라 감소하였다. 순수 HBr을 사용한 경우에 있어서는 Br 라디칼이 Cl 라디칼에 비하여 자발적인 실리콘 식각의 민감도가 감소하여 positive angle의 식각형상이 얻어졌으며 HBr내에 $Cl_2$의 증가에 따라 이방성 식각이 얻어졌 다. 물리적인 격자손상을 투과전자현미경으로 관찰한 결과 <$Cl_2/N_2$및 HBr을 함유한 식각가 스를 사용한 경우에 trench표면에서 결함이 관찰되었다.

  • PDF