• Title/Summary/Keyword: dynamic frequency scaling

Search Result 84, Processing Time 0.032 seconds

Scaling Technique of Earthquake Record and its Application to Pile Load Test for Model Driven into Pressure Chamber (지진 기록의 확대(Scaling) 기법과 압력토오 말뚝모형실험에의 적용)

  • 최용규
    • Geotechnical Engineering
    • /
    • v.12 no.2
    • /
    • pp.19-32
    • /
    • 1996
  • Based on Trifuilac's empirical model to transform earthquake acceleration time history in the time domain into Fourier amplitude spectrum in the frequency domail an earthquake scaling technique for simulating the earthquake record of certain magnitude as the required magnitude earthquake was suggested. Also, using the earthquake record of magni dude(M) 5.8, the simulated earthquake of magnitude(M) 8.0 was established and its application to dynamic testing system was proposed. The earthquake scaling technique could be considered by several terms : earthquake magnitude(M), earthquake intensity(MMI), epicentral distance, recording site conditions, component direction and confidence level required by the analysis. Albo, it had an application to the various earthquake records. The simulated earthquake in this study was established by two orthogonal horizontal components of earthquake acceleration-time history. The simulated earthquake shaking could be applied to the dynamic pile load test for the model tension pile and the model compressive open -ended piles driven into the pressure chamber. In the static pile load test, behavior of two piles was very different and after model tension pile experienced 2 or 3 successive slips of the pile relative to the soil, it was failed completely. During the simulated earthquake shaking, dynamic behavior and pile capacity degradation of two piles were very different.

  • PDF

On Dynamic Voltage Scale based Protocol for Low Power Underwater Secure Communication on Sensor Network (센서 네트워크 상에서의 저전력 보안 수중 통신을 위한 동작 전압 스케일 기반 암호화에 대한 연구)

  • Seo, Hwa-Jeong;Kim, Ho-Won
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.18 no.3
    • /
    • pp.586-594
    • /
    • 2014
  • Maximizing the operating time by reducing the power consumption is important factor to operate sensor network under water networks. For efficient power consumption, dynamic voltage scaling method is available. This method operates low frequency when there is no workload. In case of abundant workload, high frequency operation completes hard work within short time, reducing power consumption. For this reason, complex cryptography should be computed in high frequency. In this paper, we apply dynamic voltage scaling method to cryptography and show performance evaluation. With this result, we can reduce power consumption for cryptography in under water communication.

A Low Dynamic Power 90-nm CMOS Motion Estimation Processor Implementing Dynamic Voltage and Frequency Scaling Scheme and Fast Motion Estimation Algorithm Called Adaptively Assigned Breaking-off Condition Search

  • Kobayashi, Nobuaki;Enomoto, Tadayoshi
    • Proceedings of the Korean Society of Broadcast Engineers Conference
    • /
    • 2009.01a
    • /
    • pp.512-515
    • /
    • 2009
  • A 90-nm CMOS motion estimation (ME) processor was developed by employing dynamic voltage and frequency scaling (DVFS) to greatly reduce the dynamic power. To make full use of the advantages of DVFS, a fast ME algorithm and a small on-chip DC/DC converter were also developed. The fast ME algorithm can adaptively predict the optimum supply voltage ($V_D$) and the optimum clock frequency ($f_c$) before each block matching process starts. Power dissipation of the ME processor, which contained an absolute difference accumulator as well as the on-chip DC/DC converter and DVFS controller, was reduced to $31.5{\mu}W$, which was only 2.8% that of a conventional ME processor.

  • PDF

FPGA Prototype Design of Dynamic Frequency Scaling System for Low Power SoC (저전력 SoC을 위한 동적 주파수 제어 시스템의 FPGA 프로토타입 설계)

  • Jung, Eun-Gu;Marculescu, Diana;Lee, Jeong-Gun
    • Journal of KIISE:Computing Practices and Letters
    • /
    • v.15 no.11
    • /
    • pp.801-805
    • /
    • 2009
  • Hardware based dynamic voltage and frequency scaling is a promising technique to reduce power consumption in a globally asynchronous locally synchronous system such as a homogeneous or heterogeneous multi-core system. In this paper, FPGA prototype design of hardware based dynamic frequency scaling is proposed. The proposed techniques are applied to a FIFO based multi-core system for a software defined radio and Network-on-Chip based hardware MPEG2 encoder. Compared with a references system using a single global clock, the first prototype design reduces the power consumption by 78%, but decreases the performance by 5.9%. The second prototype design shows that power consumption decreases by 29.1% while performance decreases by 0.36%.

ETS: Efficient Task Scheduler for Per-Core DVFS Enabled Multicore Processors

  • Hong, Jeongkyu
    • Journal of information and communication convergence engineering
    • /
    • v.18 no.4
    • /
    • pp.222-229
    • /
    • 2020
  • Recent multi-core processors for smart devices use per-core dynamic voltage and frequency scaling (DVFS) that enables independent voltage and frequency control of cores. However, because the conventional task scheduler was originally designed for per-core DVFS disabled processors, it cannot effectively utilize the per-core DVFS and simply allocates tasks evenly across all cores to core utilization with the same CPU frequency. Hence, we propose a novel task scheduler to effectively utilize percore DVFS, which enables each core to have the appropriate frequency, thereby improving performance and decreasing energy consumption. The proposed scheduler classifies applications into two types, based on performance-sensitivity and allows a performance-sensitive application to have a dedicated core, which maximizes core utilization. The experimental evaluations with a real off-the-shelf smart device showed that the proposed task scheduler reduced 13.6% of CPU energy (up to 28.3%) and 3.4% of execution time (up to 24.5%) on average, as compared to the conventional task scheduler.

Dynamic Voltage and Frequency Scaling for Power-Constrained Design using Process Voltage and Temperature Sensor Circuits

  • Nan, Haiqing;Kim, Kyung-Ki;Wang, Wei;Choi, Ken
    • Journal of Information Processing Systems
    • /
    • v.7 no.1
    • /
    • pp.93-102
    • /
    • 2011
  • In deeply scaled CMOS technologies, two major non-ideal factors are threatening the survival of the CMOS; i) PVT (process, voltage, and temperature) variations and ii) leakage power consumption. In this paper, we propose a novel post-silicon tuning methodology to scale optimum voltage and frequency "dynamically". The proposed design technique will use our PVT sensor circuits to monitor the variations and based on the monitored variation data, voltage and frequency will be compensated "automatically". During the compensation process, supply voltage is dynamically adjusted to guarantee the minimum total power consumption without violating the frequency requirement. The simulation results show that the proposed technique can reduce the total power by 85% and the static power by 53% on average for the selected ISCAS'85 benchmark circuits with 45 nm CMOS technology compared to the results of the traditional PVT compensation method.

Low Power Optimization of MPEG-2 AAC with Microscopic Dynamic Voltage Scaling(DVS) (Microscopic Dynamic Voltage Scaling(DVS) 기반 저전력 MPEG-2 AAC 알고리즘 최적화 구현에 관한 연구)

  • Lee, Eun-Seo;Lee, Jae-Sik;Chang, Tae-Gyu
    • Proceedings of the KIEE Conference
    • /
    • 2006.10c
    • /
    • pp.428-430
    • /
    • 2006
  • This paper proposes a new means of performance optimization for multimedia algorithm utilizing the Microscopic DVS (Dynamic Voltage Scaling). The Microscopic DVS technique controls the operating frequency and the supply voltage levels dynamically according to the processing requirement for each frame of multimedia data. The huffman decoding algorithm of MPEG-2 AAC audio decoder is optimized to maximize the power saving efficiency of Microscopic DVS technique. The experimental results show the reduction of computational complexity by more than 30% and the reduction of power consumption by more than 17% compared with those of the conventionally fast method.

  • PDF

Low Power Optimization of MPEG-2 AAC with Microscopic Dynamic Voltage Scaling(DVS) (Microscopic Dynamic Voltage Scaling(DVS) 기반 저전력 MPEG-2 AAC 알고리즘 최적화 구현에 관한 연구)

  • Lee, Eun-Seo;Lee, Jae-Sik;Chang, Tae-Gyu
    • The Transactions of the Korean Institute of Electrical Engineers D
    • /
    • v.55 no.12
    • /
    • pp.544-546
    • /
    • 2006
  • This paper proposes a new means of performance optimization for multimedia algorithm utilizing the Microscopic DVS (Dynamic Voltage Scaling). The Microscopic DVS technique controls the operating frequency and the supply voltage levels dynamically according to the processing requirement for each frame of multimedia data. The huffman decoding algorithm of MPEG-2 AAC audio decoder is optimized to maximize the power saving efficiency of Microscopic DVS technique. The experimental results show the reduction of computational complexity by more than 30% and the reduction of power consumption by more than 17% compared with those of the conventionally fast method.

A Novel High-speed CMOS Level-Up/Down Shifter Design for Dynamic-Voltage/Frequency-Scaling Algorithm (Dynamic-Voltage/Frequency-Scaling 알고리즘에서의 다중 인가 전압 조절 시스템 용 High-speed CMOS Level-Up/Down Shifter)

  • Lim Ji-Hoon;Ha Jong-Chan;Wee Jae-Kyung;Moon Gyu
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.43 no.6 s.348
    • /
    • pp.9-17
    • /
    • 2006
  • We proposed a new High-speed CMOS Level Up/Down Shifter circuits that can be used with Dynamic Voltage and Frequency Scaling(DVFS) algorithm, for low power system in the SoC(System-on-Chip). This circuit used to interface between the other voltage levels in each CMOS circuit boundary, or between multiple core voltage levels in a system bus. Proposed circuit have advantage that decrease speed attenuation and duty ratio distortion problems for interface. The level up/down shifter of the proposed circuit designed that operated from multi core voltages$(0.6\sim1.6V)$ to used voltage level for each IP at the 500MHz input frequency The proposed circuit supports level up shifting from the input voltage levels, that are standard I/O voltages 1.8V, 2.5V, 3.3V, to multiple core voltage levels in between of $0.6V\sim1.6V$, that are used internally in the system. And level down shifter reverse operated at 1Ghz input frequency for same condition. Simulations results are shown to verify the proposed function by Hspice simulation, with $0.6V\sim1.6V$ CMOS Process, $0.13{\mu}m$ IBM CMOS Process and $0.65{\mu}m$ CMOS model parameters. Moreover, it is researched delay time, power dissipation and duty ration distortion of the output voltage witch is proportional to the operating frequency for the proposed circuit.

Dynamic Voltage Scaling Technique Considering Application Characteristics (응용 프로그램 특성을 고려한 동적 전압 조절 기법)

  • Cho, Young-Jin;Chang, Nae-Hyuck
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.46 no.12
    • /
    • pp.96-104
    • /
    • 2009
  • In the real system environments, the performance of the application is not linearly proportional to the clock frequency of the microprocessor, in contrast to the general assumption of conventional dynamic voltage scaling. In this paper, we analytically model the relation between the performance of the application and the clock frequency of the microprocessor, and introduce the energy-optimal scheduling algorithm for a task set with distinct application characteristics. In addition, we present a theorem for the energy-optimal scheduling, which the derivative of the energy consumption with respect to the execution time should be the same for all the tasks. The proposed scheduling algorithm always generates the energy-optimal scaling factor thanks to the theorem for energy-optimal scheduling. We achieved about 7% additional energy reduction in the experiments using synthetic task sets.