• Title/Summary/Keyword: dishing

Search Result 54, Processing Time 0.035 seconds

Process Characteristics by Pattern Size in CMP Process of BLT Films (BLT박막의 화학적기계적연마 공정시 패턴 크기에 따른 공정 특성)

  • Shin, Sang-Hun;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.10a
    • /
    • pp.107-108
    • /
    • 2006
  • In this work, we first applied the chemical mechanical polishing (CMP) process to the planarization of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface. $Bi_{3.25}La_{0.75}Ti_{3}O_{12}$ (BLT) ferroelectric film was fabricated by the sol-gel method. However, there have been serious problems in CMP in terms of repeatability and defects in patterned wafer. Especially, dishing & erosion defects increase the resistance because they decrease the interconnect section area, and ultimately reduce the lifetime of the semiconductor. Cross-sections of the wafer before and after CMP were examined by Scanning electron microscope(SEM). Process characteristics of non-dishing and erosion were investigated.

  • PDF

Cu Plating Thickness Optimization by Bottom-up Gap-fill Mechanism in Dual Damascene Process (Dual Damascene 공정에서 Bottom-up Gap-fill 메커니즘을 이용한 Cu Plating 두께 최적화)

  • Yoo, Hae-Young;Kim, Nam-Hoon;Kim, Sang-Yong;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.93-94
    • /
    • 2005
  • Cu metallization using electrochemical plating(ECP) has played an important role in back end of line(BEOL) interconnect formation. In this work, we studied the optimized copper thickness using Bottom-up Gap-fill in Cu ECP, which is closely related with the pattern dependencies in Cu ECP and Cu dual damascene process at 0.13 ${\mu}m$ technology node. In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge, Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness.

  • PDF

Sketch-based Solid Prototype Modeling System with Dual Data Structure of Point-set Surfaces and Voxels

  • Takeuchi, Ryota;Watanabe, Taichi;Yamakawa, Soji
    • International Journal of CAD/CAM
    • /
    • v.11 no.1
    • /
    • pp.18-26
    • /
    • 2011
  • This paper proposes a new solid-shape modeling system based on a lusterware-image illustration. The proposed method reconstructs a three dimensional solid shape from a set of rough sketches that are typically drawn in the early stages of the design process. The sketches do not have to be strictly accurate, and this tolerance to the roughness of the input sketches is one of the major advantages of the proposed method. The proposed system creates an initial shape based on the silhouette of the input lusterware-images. Then the user can edit the initial shape with intuitive cutting and dishing-up operations, which are based on sketching user interface. To achieve the goal, the system retains the geometric model with two representations: a point-set data and a volume data. This dual data structure allows the program to create an initial shape from the input images with little computational cost, and the user can apply cutting and dishing-up operations without substantially increasing computational and memory requirements. In this research, we have tested the proposed system by reconstructing solid models of some mechanical parts from rough sketches. The experimental results indicate that the proposed method is useful for the prototyping of a solid shape.

  • PDF

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

  • Lin, Paul-Chang;Xu, Jin-Hai;Lu, Hong-Liang;Zhang, David Wei;Li, Pei
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.3
    • /
    • pp.319-325
    • /
    • 2017
  • Through silicon via (TSV) technology is extensively used in 3D IC integrations. The special structure of the TSV is realized by CMP (Chemically Mechanical Polishing) process with a high Cu removal rate and, low dishing, yielding fine topography without defects. In this study, we investigated the electrochemical behavior of copper slurries with various inhibitors in the Cu CMP process for advanced TSV applications. One of the slurries was carried out for the most promising process with a high removal rate (${\sim}18000{\AA}/Min$ @ 3 psi) and low dishing (${\sim}800{\AA}$), providing good microstructure. The effects of pH value and $H_2O_2$ concentration on the slurry corrosion potential and Cu static etching rate (SER) were also examined. The slurry formula with a pH of 6 and 2% $H_2O_2$, hadthe lowest SER (${\sim}75{\AA}/Min$) and was the best for TSV CMP. A novel Cu TSV CMP process was developed with two CMPs and an additional annealing step after some of the bulk Cu had been removed, effectively improving the condition of the TSV Cu surface and preventing the formation of crack defects by variations in wafer stress during TSV process integration.

Ti/Cu CMP process for wafer level 3D integration (웨이퍼 레벨 3D Integration을 위한 Ti/Cu CMP 공정 연구)

  • Kim, Eunsol;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.3
    • /
    • pp.37-41
    • /
    • 2012
  • The wafer level stacking with Cu-to-Cu bonding becomes an important technology for high density DRAM stacking, high performance logic stacking, or heterogeneous chip stacking. Cu CMP becomes one of key processes to be developed for optimized Cu bonding process. For the ultra low-k dielectrics used in the advanced logic applications, Ti barrier has been preferred due to its good compatibility with porous ultra low-K dielectrics. But since Ti is electrochemically reactive to Cu CMP slurries, it leads to a new challenge to Cu CMP. In this study Ti barrier/Cu interconnection structure has been investigated for the wafer level 3D integration. Cu CMP wafers have been fabricated by a damascene process and two types of slurry were compared. The slurry selectivity to $SiO_2$ and Ti and removal rate were measured. The effect of metal line width and metal density were evaluated.

Development of Cu CMP process for Cu-to-Cu wafer stacking (Cu-to-Cu 웨이퍼 적층을 위한 Cu CMP 특성 분석)

  • Song, Inhyeop;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.4
    • /
    • pp.81-85
    • /
    • 2013
  • Wafer stacking technology becomes more important for the next generation IC technology. It requires new process development such as TSV, wafer bonding, and wafer thinning and also needs to resolve wafer warpage, power delivery, and thermo-mechanical reliability for high volume manufacturing. In this study, Cu CMP which is the key process for wafer bonding has been studied using Cu CMP and oxide CMP processes. Wafer samples were fabricated on 8" Si wafer using a damascene process. Cu dishing after Cu CMP and oxide CMP was $180{\AA}$ in average and the total height from wafer surface to bump surface was approximately $2000{\AA}$.

Fabrication of silicon field emitter array using chemical-mechanical-polishing process (기계-화학적 연마 공정을 이용한 실리콘 전계방출 어레이의 제작)

  • 이진호;송윤호;강승열;이상윤;조경의
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.2
    • /
    • pp.88-93
    • /
    • 1998
  • The fabrication process and emission characteristics of gated silicon field emitter arrays(FEAs) using chemical-mechanical-polishing (CMP) method are described. Novel fabrication techniques consisting of two-step dry etching with oxidation of silicon and CMP processes were developed for the formation of sharp tips and clear-cut edged gate electrodes, respectively. The gate height and aperture could be easily controlled by varying the polishing time and pressure in the CMP process. We obtained silicon FEAs having self-aligned and clear-cut edged gate electrode opening by eliminating the dishing problem during the CMP process with an oxide mask layer. The tip height of the finally fabricated FEAs was about 1.1 $\mu$m and the end radius of the tips was smaller than 100 $\AA$. The emission current meaured from the fabricated 2809 tips array was about 31 $\mu$A at a gate voltage of 80 V.

  • PDF

CMP properties of $SnO_2$ thin film (가스센서 $SnO_2$ 박막의 광역평탄화 특성)

  • Choi, Gwon-Woo;Lee, Woo-Sun;Park, Jeng-Min;Choi, Seok-Jo;Park, Do-Sung;Kim, Nam-Oh
    • Proceedings of the KIEE Conference
    • /
    • 2004.07c
    • /
    • pp.1600-1604
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis of used slurry.

  • PDF

A Study on the Reliability and Reproducibility of 571 CMP process (STI CMP 공정의 신뢰성 및 재현성에 관한 연구)

  • 정소영;서용진;김상용;이우선;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.07a
    • /
    • pp.25-28
    • /
    • 2001
  • Recently, STI(Shallow Trench Isolation) process has attracted attention for high density of semiconductor device as a essential isolation technology. Without applying the conventional complex reverse moat process, CMP(Chemical Mechanical Polishing) has established the Process simplification. However, STI-CMP process have various defects such as nitride residue, torn oxide defect, damage of silicon active region, etc. To solve this problem, in this paper, we discussed to determine the control limit of process, which can entirely remove oxide on nitride from the moat area of high density as reducing the damage of moat area and minimizing dishing effect in the large field area. We, also, evaluated the reliability and reproducibility of STI-CMP process through the optimal process conditions.

  • PDF

Alternative Optimization Techniques for Shallow Trench Isolation and Replacement Gate Technology Chemical Mechanical Planarization

  • Stefanova, Y.;Cilek, F.;Endres, R.;Schwalke, U.
    • Transactions on Electrical and Electronic Materials
    • /
    • v.8 no.1
    • /
    • pp.1-4
    • /
    • 2007
  • This paper discusses two approaches for pre-polishing optimization of oxide chemical mechanical planarization (CMP) that can be used as alternatives to the commonly applied dummy structure insertion in shallow trench isolation (STI) and replacement gate (RG) technologies: reverse nitride masking (RNM) and oxide etchback (OEB). Wafers have been produced using each optimization technique and CMP tests have been performed. Dishing, erosion and global planarity have been investigated with the help of conductive atomic force microscopy (C-AFM). The results demonstrate the effectiveness of both techniques which yield excellent planarity without dummy structure related performance degradation due to capacitive coupling.