• Title/Summary/Keyword: dielectric spectroscopy

Search Result 301, Processing Time 0.036 seconds

Electrical Conduction Mechanism in the Insulating TaNx Film (절연성 TaNx 박막의 전기전도 기구)

  • Ryu, Sungyeon;Choi, Byung Joon
    • Korean Journal of Materials Research
    • /
    • v.27 no.1
    • /
    • pp.32-38
    • /
    • 2017
  • Insulating $TaN_x$ films were grown by plasma enhanced atomic layer deposition using butylimido tris dimethylamido tantalum and $N_2+H_2$ mixed gas as metalorganic source and reactance gas, respectively. Crossbar devices having a $Pt/TaN_x/Pt$ stack were fabricated and their electrical properties were examined. The crossbar devices exhibited temperature-dependent nonlinear I (current) - V (voltage) characteristics in the temperature range of 90-300 K. Various electrical conduction mechanisms were adopted to understand the governing electrical conduction mechanism in the device. Among them, the PooleFrenkel emission model, which uses a bulk-limited conduction mechanism, may successfully fit with the I - V characteristics of the devices with 5- and 18-nm-thick $TaN_x$ films. Values of ~0.4 eV of trap energy and ~20 of dielectric constant were extracted from the fitting. These results can be well explained by the amorphous micro-structure and point defects, such as oxygen substitution ($O_N$) and interstitial nitrogen ($N_i$) in the $TaN_x$ films, which were revealed by transmission electron microscopy and UV-Visible spectroscopy. The nonlinear conduction characteristics of $TaN_x$ film can make this film useful as a selector device for a crossbar array of a resistive switching random access memory or a synaptic device.

Etch characteristics of TiN thin film adding $Cl_2$ in $BCl_3$/Ar Plasma ($BCl_3$/Ar 플라즈마에서 $Cl_2$ 첨가에 따른 TiN 박막의 식각 특성)

  • Um, Doo-Seung;Kang, Chan-Min;Yang, Xue;Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.168-168
    • /
    • 2008
  • Dimension of a transistor has rapidly shrunk to increase the speed of device and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate dioxide layer and low conductivity characteristic of poly-Si gate in nano-region. To cover these faults, study of new materials is urgently needed. Recently, high dielectric materials like $Al_2O_3$, $ZrO_2$, and $HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-Si gate is not compatible with high-k materials for gate-insulator. Poly Si gate with high-k material has some problems such as gate depletion and dopant penetration problems. Therefore, new gate structure or materials that are compatible with high-k materials are also needed. TiN for metal/high-k gate stack is conductive enough to allow a good electrical connection and compatible with high-k materials. According to this trend, the study on dry etching of TiN for metal/high-k gate stack is needed. In this study, the investigations of the TiN etching characteristics were carried out using the inductively coupled $BCl_3$-based plasma system and adding $Cl_2$ gas. Dry etching of the TiN was studied by varying the etching parameters including $BCl_3$/Ar gas mixing ratio, RF power, DC-bias voltage to substrate, and $Cl_2$ gas addition. The plasmas were characterized by optical emission spectroscopy analysis. Scanning electron microscopy was used to investigate the etching profile.

  • PDF

Reduce of Etching Damage of PZT Thin Firms with Addition of Ar and O2 in Cl2/CF4 Plasma (Cl2/CF4 플라즈마에 Ar, O2 첨가에 따른 PZT 박막의 식각 손상 개선 효과)

  • 강명구;김경태;김창일
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.4
    • /
    • pp.319-324
    • /
    • 2002
  • In this study, the reduce of plasma etching damage in PZT thin film with addictive gas and re-annealing after etching have been investigated. The PZT thin films were etched as a function of $Cl_2/CF_4$ with addition of Ar and $O_2$ with inductively coupled plasma. The etch rates of PZT thin films were 1450 ${\AA}/min$ at 30% additive Ar and 1100 ${\AA}/min$ at 10% auditive $O_2$ into $Cl_2/CF_4$ gas mixing ratio of 8/2. In order to reduce plasma damage of PZT thin films after etching, the etched PZT thin films were re-annealed at various temperatures at $O_2$ atmosphere. From the hysteresis curries, the ferroelectric properties are improved by $O_2$ re-annealing process. The improvement of ferroelectric behavior at annealed PZT films is consistent wish the increase of the (100) and (200) PZT peaks revealed by x-ray diffraction (XRD). From x ray photoelectron spectroscopy (XPS) analysis, the intensity of Pb-O, Zr-O and Ti-O peak are increased and the chemical residue peak is reduced by $O_2$ re-annealing. The ferroelectric behavior consistent with the dielectric nature of $Ti_xO_y$ is recovered by $O_2$ recombination during rapid thermal annealing process.

Reduce of Etching Damage of PZT Thiin Films in $Cl_{2}/CF_{4}$ Plasma with addition of Ar and $O_2$ ($Cl_{2}/CF_{4}$ 플라즈마에 Ar,$O_2$첨가에 따른 PZT 박막의 식각 손상 효과)

  • 강명구;김경태;김창일
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.21-25
    • /
    • 2001
  • In this study, recovery of plasma etching damage in PZT thin film with additive gas and re-annealing after etching have been investigated. The PZT thin films were etched as a function of Cl$_2$/CF$_4$ with addition of Ar and $O_2$ with inductively induced plasma. The etch rates of PZT thin films were 1450$\AA$/min at 30% additive Ar into (Cl$_2$(80%)+CF$_4$ (20%)) and 1100$\AA$/min at 10% additive $O_2$ into C(Cl$_2$(80%)+CF$_4$ (20%)). In order to recovery properties of PZT thin films after etching, the etched PZT thin films were re-annealed at various temperatures in at $O_2$ atmosphere. From the hysteresis curves, ferroelectrical properties are improved by $O_2$ re-annealing process. The improvement of ferroelectric behavior at annealed sample is consistent with the increase of the (100) and (200) PZT peaks revealed by x-ray diffraction (XRD). From x-ray photoelectron spectroscopy (XPS) analysis, intensity of Pb-O, Zr-O and Ti-O peak are increased and the chemical residue peak is reduced by $O_2$ re-annealing. The ferroelectric behavior consistent with the dielectric nature of Ti$_{x}$O$_{y}$ is recovered by $O_2$ recombination during rapid thermal annealing process.s.s.

  • PDF

Electrical and Material Characteristics of HfO2 Film in HfO2/Hf/Si MOS Structure (HfO2/Hf/Si MOS 구조에서 나타나는 HfO2 박막의 물성 및 전기적 특성)

  • Bae, Kun-Ho;Do, Seung-Woo;Lee, Jae-Sung;Lee, Yong-Hyun
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.22 no.2
    • /
    • pp.101-106
    • /
    • 2009
  • In this paper, Thin films of $HfO_2$/Hf were deposited on p-type wafer by Atomic Layer Deposition (ALD). We studied the electrical and material characteristics of $HfO_2$/Hf/Si MOS capacitor depending on thickness of Hf metal layer. $HfO_2$ films were deposited using TEMAH and $O_3$ at $350^{\circ}C$. Samples were then annealed using furnace heating to $500^{\circ}C$. Round-type MOS capacitors have been fabricated on Si substrates with $2000\;{\AA}$-thick Pt top electrodes. The composition rate of the dielectric material was analyzed using TEM (Transmission Electron Microscopy), XRD (X-ray Diffraction) and XPS (X-ray Photoelectron Spectroscopy). Also the capacitance-voltage (C-V), conductance-voltage (G-V), and current-voltage (I-V) characteristics were measured. We calculated the density of oxide trap charges and interface trap charges in our MOS device. At the interface between $HfO_2$ and Si, both Hf-Si and Hf-Si-O bonds were observed, instead of Si-O bond. The sandwiched Hf metal layer suppressed the growing of $SiO_x$ layer so that $HfSi_xO_y$ layer was achieved. And finally, the generation of both oxide trap charge and interface trap charge in $HfO_2$ film was reduced effectively by using Hf metal layer.

Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods (전자 사이클로트론 공명 플라즈마와 열 원자층 증착법으로 제조된 Al2O3 박막의 물리적·전기적 특성 비교)

  • Yang, Dae-Gyu;Kim, Yang-Soo;Kim, Jong-Heon;Kim, Hyoung-Do;Kim, Hyun-Suk
    • Korean Journal of Materials Research
    • /
    • v.27 no.6
    • /
    • pp.295-300
    • /
    • 2017
  • Aluminum-oxide($Al_2O_3$) thin films were deposited by electron cyclotron resonance plasma-enhanced atomic layer deposition at room temperature using trimethylaluminum(TMA) as the Al source and $O_2$ plasma as the oxidant. In order to compare our results with those obtained using the conventional thermal ALD method, $Al_2O_3$ films were also deposited with TMA and $H_2O$ as reactants at $280^{\circ}C$. The chemical composition and microstructure of the as-deposited $Al_2O_3$ films were characterized by X-ray diffraction(XRD), X-ray photo-electric spectroscopy(XPS), atomic force microscopy(AFM) and transmission electron microscopy(TEM). Optical properties of the $Al_2O_3$ films were characterized using UV-vis and ellipsometry measurements. Electrical properties were characterized by capacitance-frequency and current-voltage measurements. Using the ECR method, a growth rate of 0.18 nm/cycle was achieved, which is much higher than the growth rate of 0.14 nm/cycle obtained using thermal ALD. Excellent dielectric and insulating properties were demonstrated for both $Al_2O_3$ films.

Optimized Decomposition of Ammonia Borane for Controlled Synthesis of Hexagonal Boron Nitride Using Chemical Vapor Deposition

  • Han, Jaehyu;Kwon, Heemin;Yeo, Jong-Souk
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.285-285
    • /
    • 2013
  • Recently, hexagonal boron nitride (h-BN), which is III-V compound of boron and nitride by strong covalent sp2 bonds has gained great interests as a 2 dimensional insulating material since it has honeycomb structure with like graphene with very small lattice mismatch (1.7%). Unlike graphene that is semi-metallic, h-BN has large band gap up to 6 eV while providing outstanding properties such as high thermal conductivity, mechanical strength, and good chemical stability. Because of these excellent properties, hBN can potentially be used for variety of applications such as dielectric layer, deep UV optoelectronic device, and protective transparent substrate. Low pressure and atmospheric pressure chemical vapor deposition (LPCVD and APCVD) methods have been investigated to synthesize h-BN by using ammonia borane as a precursor. Ammonia borane decomposes to polyiminoborane (BHNH), hydrogen, and borazine. The produced borazine gas is a key material that is a used for the synthesis of h-BN, therefore controlling the condition of decomposed products from ammonia borane is very important. In this paper, we optimize the decomposition of ammonia borane by investigating temperature, amount of precursor, and other parameters to fabricate high quality monolayer h-BN. Synthesized h-BN is characterized by Raman spectroscopy and its absorbance is measured with UV spectrophotometer. Topological variations of the samples are analyzed by atomic force microscopy. Scanning electron microscopy and Scanning transmission Electron microscopy are used for imaging and analysis of structures and surface morphologies.

  • PDF

Atomic Layer Deposited ZrxAl1-xOy Film as High κ Gate Insulator for High Performance ZnSnO Thin Film Transistor

  • Li, Jun;Zhou, You-Hang;Zhong, De-Yao;Huang, Chuan-Xin;Huang, Jian;Zhang, Jian-Hua
    • Electronic Materials Letters
    • /
    • v.14 no.6
    • /
    • pp.669-677
    • /
    • 2018
  • In this work, the high ${\kappa}$ $Zr_xAl_{1-x}O_y$ films with a different Zr concentration have been deposited by atomic layer deposition, and the effect of Zr concentrations on the structure, chemical composition, surface morphology and dielectric properties of $Zr_xAl_{1-x}O_y$ films is analyzed by Atomic force microscopy, X-ray diffraction, X-ray photoelectron spectroscopy and capacitance-frequency measurement. The effect of Zr concentrations of $Zr_xAl_{1-x}O_y$ gate insulator on the electrical property and stability under negative bias illumination stress (NBIS) or temperature stress (TS) of ZnSnO (ZTO) TFTs is firstly investigated. Under NBIS and TS, the much better stability of ZTO TFTs with $Zr_xAl_{1-x}O_y$ film as a gate insulator is due to the suppression of oxygen vacancy in ZTO channel layer and the decreased trap states originating from the Zr atom permeation at the $ZTO/Zr_xAl_{1-x}O_y$ interface. It provides a new strategy to fabricate the low consumption and high stability ZTO TFTs for application.

Surface Coating Treatment of Phosphor Powder Using Atmospheric Pressure Dielectric Barrier Discharge Plasma (대기압 유전체배리어방전 플라즈마를 이용한 형광체 분말 코팅)

  • Jang, Doo Il;Ihm, Tae Heon;Trinh, Quang Hung;Jo, Jin Oh;Mok, Young Sun;Lee, Sang Baek;Ramos, Henry J.
    • Applied Chemistry for Engineering
    • /
    • v.25 no.5
    • /
    • pp.455-462
    • /
    • 2014
  • This work investigated the hydrophobic coating of silicate yellow phosphor powder in the form of divalent europium-activated strontium orthosilicate ($Sr_2SiO_4:Eu^{2+}$) by using an atmospheric pressure dielectric barrier discharge (DBD) plasma with argon as a carrier and hexamethyldisiloxane (HMDSO), toluene and n-hexane as precursors. After the plasma treatment of the phosphor powder, the lattice structure of orthosilicate was not altered, as confirmed by an X-ray diffractometer. The coated phosphor powder was characterized by scanning electron microscopy, fluorescence spectrophotometry and contact angle analysis (CAA). The CAA of the phosphor powder coated with the HMDSO precursor revealed that the water contact angle increased from $21.3^{\circ}$ to $139.5^{\circ}$ (max. $148.7^{\circ}$) and the glycerol contact angle from $55^{\circ}$ to $143.5^{\circ}$ (max. $145.3^{\circ}$) as a result of the hydrophobic coating, which indicated that hydrophobic layers were successfully formed on the phosphor powder surfaces. Further surface characterizations were performed by Fourier transform infrared spectroscopy and X-ray photoelectron spectrometry, which also evidenced the formation of hydrophobic coating layers. The phosphor coated with HMDSO exhibited a photoluminescence (PL) enhancement, but the use of toluene or n-hexane somewhat decreased the PL intensity. The results of this work suggest that the DBD plasma may be a viable method for the preparation of hydrophobic coating layer on phosphor powder.

Plasma Etching Process based on Real-time Monitoring of Radical Density and Substrate Temperature

  • Takeda, K.;Fukunaga, Y.;Tsutsumi, T.;Ishikawa, K.;Kondo, H.;Sekine, M.;Hori, M.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.93-93
    • /
    • 2016
  • Large scale integrated circuits (LSIs) has been improved by the shrinkage of the circuit dimensions. The smaller chip sizes and increase in circuit density require the miniaturization of the line-width and space between metal interconnections. Therefore, an extreme precise control of the critical dimension and pattern profile is necessary to fabricate next generation nano-electronics devices. The pattern profile control of plasma etching with an accuracy of sub-nanometer must be achieved. To realize the etching process which achieves the problem, understanding of the etching mechanism and precise control of the process based on the real-time monitoring of internal plasma parameters such as etching species density, surface temperature of substrate, etc. are very important. For instance, it is known that the etched profiles of organic low dielectric (low-k) films are sensitive to the substrate temperature and density ratio of H and N atoms in the H2/N2 plasma [1]. In this study, we introduced a feedback control of actual substrate temperature and radical density ratio monitored in real time. And then the dependence of etch rates and profiles of organic films have been evaluated based on the substrate temperatures. In this study, organic low-k films were etched by a dual frequency capacitively coupled plasma employing the mixture of H2/N2 gases. A 100-MHz power was supplied to an upper electrode for plasma generation. The Si substrate was electrostatically chucked to a lower electrode biased by supplying a 2-MHz power. To investigate the effects of H and N radical on the etching profile of organic low-k films, absolute H and N atom densities were measured by vacuum ultraviolet absorption spectroscopy [2]. Moreover, using the optical fiber-type low-coherence interferometer [3], substrate temperature has been measured in real time during etching process. From the measurement results, the temperature raised rapidly just after plasma ignition and was gradually saturated. The temporal change of substrate temperature is a crucial issue to control of surface reactions of reactive species. Therefore, by the intervals of on-off of the plasma discharge, the substrate temperature was maintained within ${\pm}1.5^{\circ}C$ from the set value. As a result, the temperatures were kept within $3^{\circ}C$ during the etching process. Then, we etched organic films with line-and-space pattern using this system. The cross-sections of the organic films etched for 50 s with the substrate temperatures at $20^{\circ}C$ and $100^{\circ}C$ were observed by SEM. From the results, they were different in the sidewall profile. It suggests that the reactions on the sidewalls changed according to the substrate temperature. The precise substrate temperature control method with real-time temperature monitoring and intermittent plasma generation was suggested to contribute on realization of fine pattern etching.

  • PDF