• Title/Summary/Keyword: design-for-testability

Search Result 60, Processing Time 0.027 seconds

Programmable Compensation Circuit for GHz Band Devices (GHz 대역 소자를 위한 프로그램 가능 보상 회로)

  • Ryu, Jee-Youl;Noh, Seok-Ho;Kim, Sung-Woo
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2011.05a
    • /
    • pp.673-675
    • /
    • 2011
  • 본 논문은 GHz 대역 소자 응용을 위한 프로그램 가능 보상 회로를 제안한다. 이러한 회로는 5.2GHz대에서 동작하는 고주파 회로의 칩 제작과정에서 예기치 않게 발생한 미세한 PVT (공정, 전압, 온도) 변동을 검출하여 미세 변동된 회로 성능 변수들을 자동으로 보상한다. 자동으로 보상 가능한 고주파 회로 성능 변수들은 중요한 요소인 입력 임피던스, 전압이득과 잡음지수를 포함한다. 이러한 회로는 미세 변동을 자동으로 보상할 수 있도록 고주파 신호를 직류 신호로 변환하는 DFT (Design-for-Testability) 회로를 포함한다.

  • PDF

Automatic Compensation System for RF System-On-Chip Applications (고주파 시스템-온-칩 응용을 위한 자동 보상 시스템)

  • Ryu, Jee-Youl;Noh, Seok-Ho;Kim, Sung-Woo;Park, Seung-Hun;Lee, Jung-Hoon
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2010.10a
    • /
    • pp.718-721
    • /
    • 2010
  • 본 논문은 고주파 시스템-온-칩 응용을 위한 자동 보상 시스템을 제안한다. 이러한 시스템은 고주파 회로 칩 제작과정에서 예기치 않게 발생한 미세한 PVT(공정, 전압, 온도) 변동으로 인한 회로 성능 변수들의 미세변동을 검출하여 이를 자동으로 보상한다. 자동으로 보상 가능한 고주파 회로 성능 변수들은 중요한 요소인 입력 임피던스, 전압이득 및 잡음지수를 포함한다. 이러한 시스템은 미세 변동을 자동으로 보상할 수 있도록 고주파 신호를 직류 신호로 변환하는 DFT(Design-for-Testability) 회로를 포함한다.

  • PDF

A Concurrent Testing of DRAMs Utilizing On-Chip Networks (온칩네트워크를 활용한 DRAM 동시 테스트 기법)

  • Lee, Changjin;Nam, Jonghyun;Ahn, Jin-Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.19 no.2
    • /
    • pp.82-87
    • /
    • 2020
  • In this paper, we introduce the novel idea to improve the B/W usage efficiency of on-chip networks used for TAM to test multiple DRAMs. In order to avoid the local bottleneck of test packets caused by an ATE, we make test patterns using microcode-based instructions within ATE and adopt a test bus to transmit test responses from DRAM DFT (Design for Testability) called Test Generator (TG) to ATE. The proposed test platform will contribute to increasing the test economics of memory IC industry.

Interconnect Delay Fault Test on Boards and SoCs with Multiple Clock Domains

  • Yi, Hyun-Bean;Song, Jae-Hoon;Park, Sung-Ju
    • ETRI Journal
    • /
    • v.30 no.3
    • /
    • pp.403-411
    • /
    • 2008
  • This paper introduces an interconnect delay fault test (IDFT) controller on boards and system-on-chips (SoCs) with IEEE 1149.1 and IEEE 1500 wrappers. By capturing the transition signals launched during one system clock, interconnect delay faults operated by different system clocks can be simultaneously tested with our technique. The proposed IDFT technique does not require any modification on boundary scan cells. Instead, a small number of logic gates needs to be plugged around the test access port controller. The IDFT controller is compatible with the IEEE 1149.1 and IEEE 1500 standards. The superiority of our approach is verified by implementation of the controller with benchmark SoCs with IEEE 1500 wrapped cores.

  • PDF

Scan Design Techniques for Chip and Board Level Testability (디지탈 IC 및 보드의 시험을 위한 스캔 설계기술)

  • 민형복
    • The Magazine of the IEIE
    • /
    • v.22 no.12
    • /
    • pp.93-104
    • /
    • 1995
  • 디지탈 회로를 구현한 칩 및 보드의 시험 비용을 줄이기 위하여 사용되는 스캔 설계 기술 동향에 대하여 기술하였다. 스캔 설계 기술은 칩 수준에서 먼저 적용되기 시작하였다. 회로의 모든 플립플롭을 스캔할 수 있도록 하는 완전 스캔이 먼저 개발되었고, 최근에는 플립플롭의 일부분만 스캔할 수 있도록 하는 부분 스캔 기술이 활발하게 논의되고 있다. 한편 보드의 시험에 있어서도 보드에 실장되는 칩의 밀도가 증가되고, 표면 실장 기술이 일반화됨에 따라 종래의 시험 기술로는 충분한 시험을 거치는 것이 불가능하게 되었다. 따라서, 칩에 적용되던 기법과 유사한 스캔 설계 기술이 적용되기 시작하였다. 이를 경계 스캔(Boundary Scan)이라고 하는데, 이 기술은 80년대 후반부터 본격적으로 논의되기 시작하였다. 1990년에는 이 기술과 관련된 IEEE의 표준이 제정되어 더욱 많이 적용되는 추세에 있다. 이 논문에서는 이러한 칩 및 보드의 시험을 쉽게하기 위한 스캔 설계 기법의 배경, 발전 과정 및 기술의 내용을 소개한다.

  • PDF

Power Estimation by Using Testability (테스트 용이도를 이용한 전력소모 예측)

  • Lee, Jae-Hun;Min, Hyeong-Bok
    • The Transactions of the Korea Information Processing Society
    • /
    • v.6 no.3
    • /
    • pp.766-772
    • /
    • 1999
  • With the increase of portable system and high-density IC, power consumption of VLSI circuits is very important factor in design process. Power estimation is required in order to estimate the power consumption. A simple and correct solution of power estimation is to use circuit simulation. But it is very time consuming and inefficient way. Probabilistic method has been proposed to overcome this problem. Transition density using probability was an efficient method to estimate power consumption using BDD and Boolean difference. But it is difficult to build the BDD and compute complex Boolean difference. In this paper, we proposed Propowest. Propowest is building a digraph of circuit, and easy and fast in computing transition density by using modified COP algorithm. Propowest provides an efficient way for power estimation.

  • PDF

Analysis for Testability of Software based on Design Pattern (디자인 패턴 기반 소프트웨어의 테스트 가능성 분석)

  • 강영남;최은만
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2004.04b
    • /
    • pp.427-429
    • /
    • 2004
  • 잘 설계된 모든 객체지향 구조들은 패턴들로 가득 차 있다는 점에서 볼 때, 디자인 패턴은 상당히 유용하다. 특히 정확성. 강건성, 유연성, 재사용성, 효율성 측면에서 볼 때, 디자인 패턴은 충분히 가치가 있다. 이 논문에서는 디자인 패턴을 사용한 소프트웨어에서 테스트 가능성은 어떻게 달라지는지를 분석하고자 한다. 테스트 가능성을 측정하는 메트릭을 이용하여, 패턴이 적용된 소프트웨어와 적용되지 않은 소프트웨어에서의 메트릭을 분석한다. 측정된 값은 디자인 패턴을 사용하지 않은 소프트웨어에 비해, 사용한 소프트웨어에서 몇몇 메트릭이 낮은 값을 보였다 이것은 디자인 패턴을 적용하는 것이 오류의 가능성이나 테스트 케이스의 수를 줄여 준다는 것을 의미한다. 또한 어떤 디자인 패턴이 적용되었는지를 알고 있을 때 그 디자인 패턴에 맞는 테스트 케이스가 무엇인지 분석하였다.

  • PDF

THE ADVANTAGE OF ON ORBIT NON-UNIFORMITY CORRECTION FOR MULTI SPECTRAL CAMERA (MSC)

  • Chang Young-Jun;Kong Jong-Pil;Huh Haeng-Pal;Kim Young-Sun;Park Jong-Euk
    • Proceedings of the KSRS Conference
    • /
    • 2005.10a
    • /
    • pp.586-588
    • /
    • 2005
  • The MSC (Multi Spectral Camera) system is a remote sensing payload to obtain high resolution ground image. This system uses lossy image compression method for &Direct mission& that transmit whole image during one contact. But some image degradation occurred especially at high compression ratio. To reduce this degradation, the MSC uses NUC (Non-uniformity Correction) Unit. This unit correct CCD (Charge Coupled Device)'s high-frequency non-uniformity. So high frequency contents of image can be minimized and whole system SNR can be maximized. But NUC has some disadvantage either. It decreases entire system reliability by adding one electronic system. Adding NUC also led to difficulty of electronic design, assembly and testability. In this paper, the comparison is performed between on-orbit non-uniform correction and on ground correction. by evaluating NUC advantage for the point of view of image quality. Using real MSC parameter and proper model, considerable reference point for the system design came to possible.

  • PDF

Development of Simple Reconfigurable Access Mechanism for SoC Testing (재구성 가능한 시스템 칩 테스트 제어기술의 개발)

  • 김태식;민병우;박성주
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.41 no.8
    • /
    • pp.9-16
    • /
    • 2004
  • For a System-on-a-Chip(SoC) comprised of multiple IP cores, test control techniques have been developed to perform the internal and external test efficiently relying on the various design for testability techniques such as scan and BIST(Built-In Self-Test). However the test area overhead is too expensive to guarantee diverse test link configurations. In this paper, at first we introduce a new flag based Wrapped Core Linking Module(WCLM) that enables systematic integration of IEEE 1149.1 TAP'd cores and P1500 wrapped cores. Then a simple test control technique, which can interconnect internal scan chains of different cores, is described with requiring least amount of area overhead compared with other state-of-art techniques. The design preserves compatibility with standards and scalability for hierarchical access.

On Designing Domino CMOS Circuits for High Testability (고 Testability를 위한 Domino CMOS회로의 설계)

  • 이재민;강성모
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.19 no.3
    • /
    • pp.401-417
    • /
    • 1994
  • In this paper, a new testable design technique for domino CMOS circuits is proposed to detect stuck-at(s-at), stuck-open(s-op) and stuck-on(s-on) faults in the circuits by observing logic test reponses. The proposed technique adds one pMOS transistor per domino CMOS gate for s-op and s-on faults testing of nMOS transistors and one nMOS transistors and one nMOS transistor per domino gate or multilevel circuit to detect s-on faults in pMOS transistors of inverters in the circuit. The extra transistors enable the proposed testable circuit to operate like a pseudo static nMOS circuit while testing nMOS transistors in domino CMOS circuits. Therefore, the two=phase operation of a precharge phase and a evaluation phase is not needed to keep the domino CMOS circuit from malfunctionong due to circuit delays in the test mode, which reduces the testing time and the complexity of test generation. Most faults of th transistors in the proposed testable domino CMOS circuit can be detected by single test patterns. The use of single test patterns makes the testing of the proposed testable domino CMOS circuit free from path delays, timing skews, chage sharing and glitches. In the proposed design, the testing of the faults which, require test sequences also becomes free from test invalidation. The conventional automatic test pattern generators(ATPG) can be used for generating test patterns to detect faults in the circuits.

  • PDF