• Title/Summary/Keyword: a-Si:H solar cell

Search Result 181, Processing Time 0.027 seconds

Optimization of Electrochemical Etching Parameters in Porous Silicon Layer Transfer Process for Thin Film Solar Cell (초박형 태양전지 제작에 Porous Silicon Layer Transfer기술 적용을 위한 전기화학적 실리콘 에칭 조건 최적화에 관한 연구)

  • Lee, Ju-Young;Koo, Yeon-Soo;Lee, Jae-Ho
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.18 no.1
    • /
    • pp.23-27
    • /
    • 2011
  • Fabrication of porous silicon(PS) double layer by electrochemical etching is the first step in process of ultrathin solar cell using PS layer transfer process. The porosity of the porous silicon layer can be controlled by regulating the formation parameters such as current density and HF concentration. PS layer is fabricated by electrochemical etching in a chemical mixture of HF and ethanol. For electrochemical etching, highly boron doped (100) oriented monocrystalline Si substrates was used. Ths resistivity of silicon is $0.01-0.02\;{\Omega}{\cdot}cm$. The solution composition for electrochemical etching was HF (40%) : $C_2H_5OH$(99 %) : $H_2O$ = 1 : 1 : 2 (by volume). In order to fabricate porous silicon double layer, current density was switched. By switching current density from low to high level, a high-porosity layer was fabricated beneath a low-porosity layer. Etching time affects only the depth of porous silicon layer.

산소 반응성 스퍼터링을 이용한 TCO의 일함수 변경과 이종접합 태양전지 적용에 관한 연구

  • An, Si-Hyeon;Kim, Seon-Bo;Jang, Gyeong-Su;Choe, U-Jin;Choe, Jae-U;Park, Hyeong-Sik;Jang, Ju-Yeon;Song, Gyu-Wan;Lee, Jun-Sin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.600-600
    • /
    • 2012
  • 실리콘 이종접합 태양전지는 diffused dopant를 이용하여 high conductivity의 emitter를 가지는 기존의 crystalline silicon 태양전지와는 다르게 a-Si:H를 이용한 low conductivity emitter 때문에 TCO를 front electrode 및 anti-reflection layer로 사용한다. 하지만 TCO와 emitter사이의 work function mismatch에 의한 band-offset이 발생하고 photo-generation된 carrier의 injection을 막아 효율 상승을 제한하게 된다. 본 연구는 산소 반응성 스퍼터링을 통한 front TCO의 일함수 변경과 이에 따른 TCO와 emitter 계면에 존재하는 band-offset 변화에 대하여 분석하였다. 특히 산소 분압에 따른 front TCO의 일함수 변화에 따라 개방전압 및 단락전류 변화가 두드러지게 나타났으며, 직렬저항 성분 변화에 따른 충진률 변화에 따른 효율상승을 얻을 수 있었다.

  • PDF

Effect of oxalic acid solution to optimize texturing of the front layer of thin film sloar cells

  • Park, Hyeong-Sik;Jang, Gyeong-Su;Jo, Jae-Hyeon;An, Si-Hyeon;Jang, Ju-Yeon;Song, Gyu-Wan;Lee, Jun-Sin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.401-401
    • /
    • 2011
  • In this work, we deposited Al2O3doped ZnO (AZO) thin films by direct current (DC) magnetron sputtering method with a $40^{\circ}$ tilted target, for application in the front layer of thin film solar cell. Wet chemical etching behavior of AZO films was also investigated. In order to optimize textured AZO films, oxalic acid ($C_2H_2O_4$)has been used as wet etchant of AZO film. In this experiment we used 0.001% concentration of oxalic acid various etching time, that showed an anisotropy in etching texture of AZO films. Electrical resistivity, Hall mobility and carrier concentration measurements are performed by using the Hall measurement, that are $6{\times}10^{-4}{\Omega}cm$, $20{\sim}25cm^2/V-s$ and $4{\sim}6{\times}10^{20}$, respectively.

  • PDF

Influence of silver nanoparticles on the photovoltaic parameters of silicon solar cells

  • Dzhafarov, Tayyar D.;Pashaev, Arif M.;Tagiev, Bahadur G.;Aslanov, Shakir S.;Ragimov, Shirin H.;Aliev, Akper A.
    • Advances in nano research
    • /
    • v.3 no.3
    • /
    • pp.133-141
    • /
    • 2015
  • Influence of Ag nanoparticles on optical and photovoltaic properties of, silicon substrates, silicon solar cells and glass have been investigated. Silver nanoparticles have been fabricated by evaporation of thin Ag layers followed by the thermal annealing. The surface plasmon resonance peak was observed in the absorbance spectrum at 470 nm of glass with deposited silver nanoparticles. It is demonstrated that deposition of silver nanoparticles on silicon substrates was accompanied with a significant decrease in reflectance at the wavelength 360-1100 nm and increase of the absorption at wavelengths close to the band gap for Si substrates. We studied influence of Ag nanoparticles on photovoltaic characteristics of silicon solar cells without and with common use antireflection coating (ARC). It is shown that silver nanoparticles deposited onto the front surface of the solar cells without ARC led to increase in the photocurrent density by 39% comparing to cells without Ag nanoparticles. Contrary to this, solar cells with Ag nanoparticles deposited on front surface with ARC discovered decrease in photocurrent density. The improved performance of investigated cells was attributed to Ag-plasmonic excitations that reduce the reflectance from the silicon surface and ultimately leads to the enhanced light absorption in the cell. This study showed possibility of application of Ag nanoparticles for the improvement of the conversion efficiency of waferbased silicon solar cells instead of usual ARC.

The Study of the Tunnel Recombination Junction Properties in Multi-Junction Thin Film Silicon Solar Cells (다중 적층형 박막 실리콘 태양 전지의 터널 접합 특성 연구)

  • Hwang, Sun-Tae;Shim, Jenny H.;Chung, Jin-Won;Ahn, Seh-Won;Lee, Heon-Min
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2010.06a
    • /
    • pp.62.2-62.2
    • /
    • 2010
  • 박막 실리콘 태양 전지는 저가격화 및 대량생산, 대면적화에 유리하다는 장점을 가지고 있다. 단점으로 지적되는 낮은 효율을 극복하기 위해 광흡수층의 밴드갭이 서로 다른 두 개 이상의 박막을 적층하여, 넓은 파장 대역의 빛을 효과적으로 흡수함으로써 광변환 효율을 올리기 위한 많은 연구가 이루어지고 있다. 서로 다른 밴드갭의 광흡수층을 가진 p-i-n 구조를 다중 적층하여 고효율의 태양 전지를 제작하기 위해서는 n-도핑층과, p-도핑층 간에 전자와 정공이 빠르게 재결합할 수 있는 터널 접합(Tunnel Recombination Junction)의 형성이 필수적이며, 이때 광손실이 최소화되도록 해야한다. 만약 터널 접합이 적절하게 형성되지 않으면 결합되지 않은 전자와 정공이 도핑층 사이에 쌓이게 되고, 도핑층 사이의 저항 증가로 태양 전지의 광변환 효율은 크게 하락한다. 이번 연구에서는 터널 접합이 잘 이루어지게 하기 위한 n-도핑층 및 p-도핑층 박막의 특성과, 터널 접합의 특성에 따른 적층형 태양 전지의 광효율 변화를 확인하였다. 광흡수층 및 도핑층은 TCO($SnO_2:F$, Asahi) 유리 기판 위에 PECVD를 사용하여 p-i-n 구조로 RF Power 조건에서 증착되었고, ${\mu}c$-Si 광흡수층의 경우에는 VHF Power 조건에서 증착되었다. 광흡수층이 a-Si/${\mu}c$-Si의 구조를 가지는 이중 접합 태양 전지에서 ${\mu}c$-Si n-도핑층/${\mu}c$-Si p-도핑층 사이의 터널 접합 실험 결과 n-도핑층 및 p-도핑층의 결정화도와 도핑 농도를 조절하여 터널 접합의 저항을 최소화했고, 터널 접합 특성이 이중 접합 셀의 광효율 특성과 유사한 경향을 보임을 확인하였다. 광흡수층이 a-Si/a-SiGe/${\mu}c$-Si의 구조를 가지는 삼중 접합 태양 전지 실험의 경우 a-Si과 a-SiGe 광흡수층 사이에 ${\mu}c$-Si n-도핑층/${\mu}c$-Si p-도핑층/a-SiC p-도핑층의 구조를 적용하여 터널 접합을 형성하였으며, ${\mu}c$-Si p-도핑층의 두께 및 박막 특성을 개선하여 광손실이 최소화된 터널 접합을 구현하였고, 삼중 접합 태양 전지에 적용되었다.

  • PDF

Electrical Properties of Molybdenum Metal Deposited by Plasma Enhanced - Atomic Layer Deposition of Variation Condition (다양한 조건의 플라즈마 원자층 증착법으로 증착된 Mo 금속의 전기적 특성)

  • Lim, Taewaen;Chang, Hyo Sik
    • Korean Journal of Materials Research
    • /
    • v.29 no.11
    • /
    • pp.715-719
    • /
    • 2019
  • Molybdenum is a low-resistivity transition metal that can be applied to silicon devices using Si-metal electrode structures and thin film solar cell electrodes. We investigate the deposition of metal Mo thin film by plasma-enhanced atomic layer deposition (PE-ALD). $Mo(CO)_6$ and $H_2$ plasma are used as precursor. $H_2$ plasma is induced between ALD cycles for reduction of $Mo(CO)_6$ and Mo film is deposited on Si substrate at $300^{\circ}C$. Through variation of PE-ALD conditions such as precursor pulse time, plasma pulse time and plasma power, we find that these conditions result in low resistivity. The resistivity is affected by Mo pulse time. We can find the reason through analyzing XPS data according to Mo pulse time. The thickness uniformity is affected by plasma power. The lowest resistivity is $176{\mu}{\Omega}{\cdot}cm$ at $Mo(CO)_6$ pulse time 3s. The thickness uniformity of metal Mo thin film deposited by PE-ALD shows a value of less than 3% below the plasma power of 200 W.

Effect of H2S Concentration and Sulfurization Temperature on the Properties of Cu2ZnSnS4 Thin Films

  • Arepalli, Vinaya Kumar;Kim, Eui-Tae
    • Korean Journal of Materials Research
    • /
    • v.25 no.12
    • /
    • pp.708-712
    • /
    • 2015
  • This study reports the effects of $H_2S$ gas concentration on the properties of $Cu_2ZnSnS_4(CZTS)$ thin films. Specifically, sulfurization process with low $H_2S$ concentrations of 0.05% and 0.1%, along with 5% $H_2S$ gas, was studied. CZTS films were directly synthesized on Mo/Si substrates by chemical bath deposition method using copper sulfate, zinc sulfate heptahydrate, tin chloride dihydrate, and sodium thiosulfate pentahydrate. Smooth CZTS films were grown on substrates at optimized chemical bath deposition condition. The CZTS films sulfurized at low $H_2S$ concentrations of 0.05 % and 0.1% showed very rough and porous film morphology, whereas the film sulfurized at 5% $H_2S$ yielded a very smooth and dense film morphology. The CZTS films were fully crystallized in kesterite crystal form when they were sulfurized at $500^{\circ}C$ for 1 h. The kesterite CZTS film showed a reasonably good room-temperature photoluminescence spectrum that peaked in a range of 1.4 eV to 1.5 eV, consistent with the optimal bandgap for CZTS solar cell applications.

Fabrication of Microwire Arrays for Enhanced Light Trapping Efficiency Using Deep Reactive Ion Etching

  • Hwang, In-Chan;Seo, Gwan-Yong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.454-454
    • /
    • 2014
  • Silicon microwire array is one of the promising platforms as a means for developing highly efficient solar cells thanks to the enhanced light trapping efficiency. Among the various fabrication methods of microstructures, deep reactive ion etching (DRIE) process has been extensively used in fabrication of high aspect ratio microwire arrays. In this presentation, we show precisely controlled Si microwire arrays by tuning the DRIE process conditions. A periodic microdisk arrays were patterned on 4-inch Si wafer (p-type, $1{\sim}10{\Omega}cm$) using photolithography. After developing the pattern, 150-nm-thick Al was deposited and lifted-off to leave Al microdisk arrays on the starting Si wafer. Periodic Al microdisk arrays (diameter of $2{\mu}m$ and periodic distance of $2{\mu}m$) were used as an etch mask. A DRIE process (Tegal 200) is used for anisotropic deep silicon etching at room temperature. During the process, $SF_6$ and $C_4F_8$ gases were used for the etching and surface passivation, respectively. The length and shape of microwire arrays were controlled by etching time and $SF_6/C_4F_8$ ratio. By adjusting $SF_6/C_4F_8$ gas ratio, the shape of Si microwire can be controlled, resulting in the formation of tapered or vertical microwires. After DRIE process, the residual polymer and etching damage on the surface of the microwires were removed using piranha solution ($H_2SO_4:H_2O_2=4:1$) followed by thermal oxidation ($900^{\circ}C$, 40 min). The oxide layer formed through the thermal oxidation was etched by diluted hydrofluoric acid (1 wt% HF). The surface morphology of a Si microwire arrays was characterized by field-emission scanning electron microscopy (FE-SEM, Hitachi S-4800). Optical reflection measurements were performed over 300~1100 nm wavelengths using a UV-Vis/NIR spectrophotometer (Cary 5000, Agilent) in which a 60 mm integrating sphere (Labsphere) is equipped to account for total light (diffuse and specular) reflected from the samples. The total reflection by the microwire arrays sample was reduced from 20 % to 10 % of the incident light over the visible region when the length of the microwire was increased from $10{\mu}m$ to $30{\mu}m$.

  • PDF

ONO 삼중막 패시베이션 구조의 열적 안정성에 관한 연구

  • Choe, Pyeong-Ho;Kim, Sang-Seop;Choe, Byeong-Deok
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.308-308
    • /
    • 2012
  • 현재 결정질 태양전지 제작에 있어 공정 단가 및 재료비 절감을 위해 실리콘 웨이퍼의 두께가 점점 얇아지는 추세이며, 이에 따른 장파장 영역 흡수 손실을 감소시키기 위한 방안으로 후면 패시베이션에 관한 연구가 활발히 진행되고 있다. 후면 패시베이션층으로는 SiO2, SiNx, a-Si:H, SiOxNy 등의 물질이 사용되고 있으며, 본 연구에서는 SiO2/SiNx/SiO2 (ONO)의 삼중막 구조를 패시베이션층으로 하여 SiNx 단일막 구조와의 열처리 온도에 따른 소수캐리어 수명(${\tau}eff$), 후면 재결합속도(Seff), 확산거리(LD) 등의 파라미터 변화를 비교하였다. 증착 직후와 $350^{\circ}C$에서의 Forming Gas Annealing (FGA), 그리고 $800^{\circ}C$의 고온에서의 fast firing 후의 각각의 파라미터 변화를 관찰하였다. 증착 직후 SiNx 단일막과 ONO 삼중막의 소수캐리어 수명은 각각 $108{\mu}s$$145{\mu}s$를 보였다. 후면 재결합속도는 65 cm/s와 44 cm/s를 보였으며, 확산거리는 각각 $560{\mu}m$$640{\mu}m$를 나타내었다. FGA와 firing 열처리 후 세 파마미터는 모두 향상된 값을 보였으며 최종 firing 처리 후 단일막과 삼중막의 소수캐리어 수명은 각각 $196{\mu}s$$212{\mu}s$를 보였다. 또한 후면 재결합속도는 28 cm/s와 24 cm/s를 보였으며, 확산거리는 각각 $750{\mu}m$$780{\mu}m$를 보여 ONO 삼중막 구조의 경우에서 보다 우수한 특성을 보였다. 본 실험을 통해 SiNx 단일막보다 ONO 패시베이션 구조에서의 열적안정성이 우수함을 확인하였으며, 또한 ONO 패시베이션 구조는 열적 안정성뿐 아니라 n-type 도핑을 위한 Back To Back (BTB) 도핑 공정 시 후면으로 의 도펀트 침투를 막는 차단 층으로서의 역할도 기대할 수 있다.

  • PDF

Study of I layer deposition parameters of deposited micro-crystalline silicon by PECVD at 27.12MHz (27.12MHz PECVD에 의해 증착된 uc-Si의 I층 공정 파라미터 연구)

  • Lee, Kise;Kim, Sunkue;Kim, Sunyoung;Kim, Sangho;Kim, Gunsung;Kim, Beomjoon
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2010.06a
    • /
    • pp.66.1-66.1
    • /
    • 2010
  • Microcrystalline silicon at low temperatures has been developed using plasma enhanced chemical vapor deposition (PECVD). It has been found that energetically positive ion and atomic hydrogen collision on to growing surface have important effects on increasing growth rate, and atomic hydrogen density is necessary for the increasing growth rate correspondingly, while keeping ion bombardment is less level. Since the plasma potential is determined by working pressure, the ion energy can be reduced by increasing the deposition pressure of 700-1200 Pa. Also, correlation of the growth rate and crystallinity with deposition parameters such as working pressure, hydrogen flow rate and input power were investigated. Consequently an efficiency of 7.9% was obtained at a high growth rate of 0.92 nm/s at a high RF power 300W using a plasma-enhanced chemical vapor deposition method (27.12MHz).

  • PDF