• 제목/요약/키워드: Wafer-to-Wafer

검색결과 2,410건 처리시간 0.023초

유리 기판과 패인 홈 모양의 홀을 갖는 웨이퍼를 이용한 웨이퍼 레벨 패키지 (Wafer Level Package Using Glass Cap and Wafer with Groove-Shaped Via)

  • 이주호;박해석;신제식;권종오;신광재;송인상;이상훈
    • 전기학회논문지
    • /
    • 제56권12호
    • /
    • pp.2217-2220
    • /
    • 2007
  • In this paper, we propose a new wafer level package (WLP) for the RF MEMS applications. The Film Bulk Acoustic Resonator (FBAR) are fabricated and hermetically packaged in a new wafer level packaging process. With the use of Au-Sn eutectic bonding method, we bonded glass cap and FBAR device wafer which has groove-shaped via formed in the backside. The device wafer includes a electrical bonding pad and groove-shaped via for connecting to the external bonding pad on the device wafer backside and a peripheral pad placed around the perimeter of the device for bonding the glass wafer and device wafer. The glass cap prevents the device from being exposed and ensures excellent mechanical and environmental protection. The frequency characteristics show that the change of bandwidth and frequency shift before and after bonding is less than 0.5 MHz. Two packaged devices, Tx and Rx filters, are attached to a printed circuit board, wire bonded, and encapsulated in plastic to form the duplexer. We have designed and built a low-cost, high performance, duplexer based on the FBARs and presented the results of performance and reliability test.

반도체 웨이퍼용 스크라이빙 머신의 파라메터 결정 (The Parameter Determination of Scribing Machine for Semiconductor Wafer)

  • 차영엽;최범식
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2002년도 추계학술대회 논문집
    • /
    • pp.164-167
    • /
    • 2002
  • The general dicing process cuts a semiconductor wafer to lengthwise and crosswise direction by using a rotating circular diamond blade. But inferior goods are made under the influence of several parameters in dicing process such as blade, wafer, cutting water and cutting conditions. Moreover we can not applicable this dicing method to GaN wafer, because the GaN wafer is harder than the other wafer such as SiO$_2$, GaAs, CaAsP, and AlCaAs. In order to overcome this problem, development of a new dicing process and determination of dicing parameters are necessary. This paper describes determination of several parameters - scribing depth, scribing force, scriber inclined angle, scribing speed, and factor for scriber replacement - for a new dicing machine using scriber.

  • PDF

반도체 전공정의 하드마스크 스트립 검사시스템 개발 (Development of Hard Mask Strip Inspection System for Semiconductor Wafer Manufacturing Process)

  • 이종환;정성욱;김민제
    • 반도체디스플레이기술학회지
    • /
    • 제19권3호
    • /
    • pp.55-60
    • /
    • 2020
  • The hard mask photo-resist strip inspection system for the semiconductor wafer manufacturing process inspects the position of the circuit pattern formed on the wafer by measuring the distance from the edge of the wafer to the strip processing area. After that, it is an inspection system that enables you to check the process status in real time. Process defects can be significantly reduced by applying a tester that has not been applied to the existing wafer strip process, edge etching process, and wafer ashing process. In addition, it is a technology for localizing semiconductor process inspection equipment that can analyze the outer diameter of the wafer and the state of pattern formation, which can secure process stability and improve wafer edge yield.

GaAs Wafer 접합용 본딩시스템 개발 (Development of Automatic Bonding System for GaAs Wafer)

  • 송준엽;강재훈;이창우;하태호;지원호;김원경
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2005년도 추계학술대회 논문집
    • /
    • pp.427-431
    • /
    • 2005
  • In this study, 6' GaAs wafer bonding system is designed and optimized to bond 6 inches device wafer and material wafer. Bonding process is performed in vacuum environment and resin is used to bond two wafers. Vacuum module and double heating mechanisms are adopted to minimize wafer warpage and void. Structure and heat transfer analysis, et al of the core modules review the designed mechanisms are very effective in performance improvement. As a result, high productivity (tack time cut-down) and stabilized process can be obtained by reducing breakage failure of wafer.

  • PDF

통계적 실험계획 및 분석: Gate Poly-Silicon의 Critical Dimension에 대한 계층적 분산 구성요소 및 웨이퍼 수준 균일성 (Statistical Design of Experiments and Analysis: Hierarchical Variance Components and Wafer-Level Uniformity on Gate Poly-Silicon Critical Dimension)

  • 박성민;김병윤;이정인
    • 대한산업공학회지
    • /
    • 제29권2호
    • /
    • pp.179-189
    • /
    • 2003
  • Gate poly-silicon critical dimension is a prime characteristic of a metal-oxide-semiconductor field effect transistor. It is important to achieve the uniformity of gate poly-silicon critical dimension in order that a semiconductor device has acceptable electrical test characteristics as well as a semiconductor wafer fabrication process has a competitive net-die-per-wafer yield. However, on gate poly-silicon critical dimension, the complexity associated with a semiconductor wafer fabrication process entails hierarchical variance components according to run-to-run, wafer-to-wafer and even die-to-die production unit changes. Specifically, estimates of the hierarchical variance components are required not only for disclosing dominant sources of the variation but also for testing the wafer-level uniformity. In this paper, two experimental designs, a two-stage nested design and a randomized complete block design are considered in order to estimate the hierarchical variance components. Since gate poly-silicon critical dimensions are collected from fixed die positions within wafers, a factor representing die positions can be regarded as fixed in linear statistical models for the designs. In this context, the two-stage nested design also checks the wafer-level uniformity taking all sampled runs into account. In more detail, using variance estimates derived from randomized complete block designs, Duncan's multiple range test examines the wafer-level uniformity for each run. Consequently, a framework presented in this study could provide guidelines to practitioners on estimating the hierarchical variance components and testing the wafer-level uniformity in parallel for any characteristics concerned in semiconductor wafer fabrication processes. Statistical analysis is illustrated for an experimental dataset from a real pilot semiconductor wafer fabrication process.

반도체 공정에서의 Wafer Map Image 분석 방법론 (Wafer Map Image Analysis Methods in Semiconductor Manufacturing System)

  • 유영지;안대웅;박승환;백준걸
    • 대한산업공학회지
    • /
    • 제41권3호
    • /
    • pp.267-274
    • /
    • 2015
  • In the semiconductor manufacturing post-FAB process, predicting a package test result accurately in the wafer testing phase is a key element to ensure the competitiveness of companies. The prediction of package test can reduce unnecessary inspection time and expense. However, an analysing method is not sufficient to analyze data collected at wafer testing phase. Therefore, many companies have been using a summary information such as a mean, weighted sum and variance, and the summarized data reduces a prediction accuracy. In the paper, we propose an analysis method for Wafer Map Image collected at wafer testing process and conduct an experiment using real data.

CMP와 Spin Etching에 의한 Blanket Wafer(TEOS) 가공 특성 비교에 관한 연구 (A Study on Machining Characteristic Comparison of Blanket Wafer(TEOS) by CMP and Spin Etching)

  • 김도윤;정해도;이은상
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2001년도 춘계학술대회 논문집
    • /
    • pp.1068-1071
    • /
    • 2001
  • Recently, the minimum line width shows a tendancy to decrease and the multi-level to increase in semiconductor. Therefore, a planarization technique is needed, which chemical polishing(CMP) is considered as one of the most important process. CMP accomplishes a high polishing performance and a global planarization of high quality. But there are several defects in CMP such as microscratches, abrasive contaminations, and non-uniformity of polished wafer edges. Spin Etching can improve the defects of CMP. It uses abrasive-free chemical solution instead of slurry. Wafer rotates and chemical solution is simultaneously dispensed on a whole surface of the wafer. Thereby chemical reaction is occurred on the surface of wafer, material is removed. On this study, TEOS film is removed by CMP and Spin Etching, the results are estimated at a viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU).

  • PDF

Wafer-to-Wafer Integration을 위한 생산수율 챌린지에 대한 연구 (Manufacturing yield challenges for wafer-to-wafer integration)

  • 김사라은경
    • 마이크로전자및패키징학회지
    • /
    • 제20권1호
    • /
    • pp.1-5
    • /
    • 2013
  • 3D integration 기술 특히 W2W integration 기술은 전자산업의 디바이스 scaling 문제를 해결하고 고성능화 소형화 추세에 맞춘 가장 핵심적인 기술 방향이다. 그러나 W2W integration 기술은 현재 가격과 생산수율의 장애를 가지고 있고, 이를 해결하기 위해서 웨이퍼 매칭, 리던던시, 다이 면적 축소, 배선 층 수 축소와 같은 디자인 연구들이 진행되고 있다. W2W integration 기술이 대량생산으로 연결되기 위해서는 우선적으로 웨이퍼 본딩, 실리콘연삭, TSV 배선 공정의 최적화가 이루어져야 하겠지만, 가격을 포함한 생산수율을 높이기 위해서는 반드시 디자인 연구가 선행되어야 하겠다.

선형 CCD 센서를 적용한 ArF 파장대 웨이퍼 에지 노광장비의 제어에 관한 연구 (A Study on the Control Algorithm for the 300[mm] Wafer Edge Exposure of ArF Type using A Linear CCD Sensor)

  • 박홍래;이철규
    • 조명전기설비학회논문지
    • /
    • 제22권6호
    • /
    • pp.148-155
    • /
    • 2008
  • 본 논문에서는 웨이퍼 에지 노광장비에 핵심 부분인 웨이퍼의 편심오차의 측정알고리즘과 플랫/노치의 방향을 해석하는 알고리즘을 제안하였다. 또한 새로 제안된 알고리즘을 전산 시뮬레이션을 통해 그 유효성을 확인하였으며 제작된 웨이퍼 에지 노광기에 적용하여 실제 장비에 적용 가능함을 확인하였다. 제안된 알고리즘을 위해 필요한 웨이퍼 에지 위치 검출방식에 있어 과거의 접촉식 방법을 사용함으로서 발생하는 파티클의 오염을 제거하기 위해 선형 CCD 센서를 적용한 비접촉 방식의 데이터 측정법을 적용함으로서 파티클의 오염을 제어 할 수 있었다.

Sand Blast를 이용한 Glass Wafer 절단 가공 최적화 (Optimization of Glass Wafer Dicing Process using Sand Blast)

  • 서원;구영보;고재용;김구성
    • 한국세라믹학회지
    • /
    • 제46권1호
    • /
    • pp.30-34
    • /
    • 2009
  • A Sand blasting technology has been used to address via and trench processing of glass wafer of optic semiconductor packaging. Manufactured sand blast that is controlled by blast nozzle and servomotor so that 8" wafer processing may be available. 10mm sq test device manufactured by Dry Film Resist (DFR) pattern process on 8" glass wafer of $500{\mu}m's$ thickness. Based on particle pressure and the wafer transfer speed, etch rate, mask erosion, and vertical trench slope have been analyzed. Perfect 500 um tooling has been performed at 0.3 MPa pressure and 100 rpm wafer speed. It is particle pressure that influence in processing depth and the transfer speed did not influence.