• Title/Summary/Keyword: Thin film residual stress

Search Result 128, Processing Time 0.028 seconds

Study of Stress Changes in Nanocrystalline Ni Thin Films Eletrodeposited from Chloride Baths (Chloride Bath로부터 전기도금된 나노결정립 니켈 박막의 잔류응력 변화에 대한 연구)

  • Park, Deok-Yong
    • Journal of the Korean Electrochemical Society
    • /
    • v.14 no.3
    • /
    • pp.163-170
    • /
    • 2011
  • Nanocrystalline Ni thin films were electodeposited from chloride baths to investigate the influences of additive concentration, current density and solution pH on residual (or internal) stress, surface morphology, and microstructure of the films. It was observed that residual stress in Ni thin film was changed from tensile stress mode (about 150 MPa) to compressive stress mode (about -100 MPa) with increasing saccharin concentration as an additive. Microstructure of Ni thin films was changed with/without saccharin in baths. Ni thin films electrodeposited from saccharinfree bath mainly consisted of both FCC(111) and FCC(200) phases. However, Ni thin film electrodeposited from the baths containing saccharin exhibited FCC(111), FCC(200) and FCC (311) phases [sometimes, FCC (220)]. Current density influenced residual stress of Ni thin films. It was measured to be the lowest compressive stress value (about-100 MPa) in range of current density of $2.5\sim10mA{\cdot}cm^{-2}$. Solution pH also influenced residual stress of Ni thin film. Addition of saccharin in baths affected grain size of Ni thin films. Grain sizes of Ni thin films were measured to be about 60 nm without saccharin and 24~38 nm with more than 0.0005M saccharin concentration. Surface of Ni thin films was changed from nodular to smooth surface morphology with addition of saccharin.

Effect of Annealing on c-axis Orientation of $PbTiO_3$ Thin Films by D.C magnetron Reactive Sputtering (D.C Magnetron Reactive Sputtering 법으로 증착한 $PbTiO_3$ 박막의 열처리에 따른 c-축 배향성의 변화)

  • 이승현;권순용;최한메;최시경
    • Journal of the Korean Ceramic Society
    • /
    • v.33 no.7
    • /
    • pp.802-808
    • /
    • 1996
  • PbTiO3 thin films were fabricated onto MgO(100) single crystal substrate by reactive D. C magnetron sput-tering of Pb and Ti metal in an oxygen and argon gas mixture. The annealing of the thin films resulted in the decrease of both the c-axis orientation ratio and the lattice parameter. It is well known that the c-axis lattice parameter of thin film is dependent on the Pb/(Pb+Ti)ratio and the residual stress in the film The PbTiO3 thin films with a Pb/(Pb+T) ratio ranging from 0.45 to 0.57 were fabricated and annealed. The structure of the film the c-axis orientation ratio and the lattice parameter were not dependent on the Pb/(Pb+Ti) ratio before and after annealing. These experimental results proved that the decrease of the c-axis lattice parameter under the annealing conditions was due to the relaxation of the intrinsic stress in the film. This relaxation of the intrinsic stress caused the decrease of the c-axis orientation ratio and this phenomenon can be explained by c-axis growth lattice model.

  • PDF

Piezoelectric Microspeakers Fabricated with High Quality AlN Thin Film (고품질 AlN 박막으로 제작한 압전 마이크로스피커)

  • Yi, Seung-Hwan;Jung, Kyung-Sick;Kim, Dong-Kee;Shin, Gwang-Jae
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.56 no.8
    • /
    • pp.1455-1460
    • /
    • 2007
  • This paper reports the piezoelectric microspeakers that are audible in open air with high quality piezoelectric AlN thin film deposited onto Mo/Ti electrode. This successful achievement, compared to the previous results, is followed by manipulating two material properties: the one is to use a compressively stressed silicon nitride film as a supporting diaphragm (even tensile stressed, around +20 MPa) and the another is to use high quality AlN thin film with compressive residual stress (less than -100 MPa). With these materials, the Sound Pressure Level (SPL) of the fabricated micro speakers shows more than 60 dB from 100 Hz to 15 kHz and the highest SPL is about 100 dB at 9.3 kHz with 20 Vpeak-to-peak sinusoidal input and with 10 mm distances from the fabricated micro speakers to the reference microphone (B&K Type 2669 & 4192L).

Effect of Current Density and Solution pH on Properties of Electrodeposited Cu Thin Films from Sulfate Baths for FCCL Applications (Sulfate 용액을 이용하여 전기도금 한 FCCL용 Cu 필름의 특성에 미치는 전류밀도와 pH의 영향)

  • Shin, Dong-Yul;Park, Doek-Yong;Koo, Bon-Keup
    • Journal of the Korean institute of surface engineering
    • /
    • v.42 no.4
    • /
    • pp.145-151
    • /
    • 2009
  • Nanocrystalline Cu thin films for FCCL were electrodeposited from sulfate baths to investigate systematically the influences of current density, solution pH on current efficiency, residual stress, surface morphology, and microstructure of thin Cu films. Current efficiencies were measured to be approximately 100%, irrespective of the applied current density and solution pH. But these influenced residual stress, surface morphology, XRD pattern, and grain size of electrodeposited Cu thin film. The residual stress decreased with decreasing the surface roughness, but increased with increasing the fcc(111) peak strength of XRD patterns.

Residual stress on nanocrystalline silicon thin films deposited with substrate biasing at low temperature

  • Lee, Hyoung-Cheol;Kim, In-Kyo;Yeom, Geun-Young
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.1568-1570
    • /
    • 2009
  • Nanocrystalline silicon thin films were deposited using an internal-type inductively coupled plasma-chemical vapor deposition at room temperature by varying the bias power to the substrate and the structural characteristics of the deposited thin film were investigated. The result showed that the crystalline volume fraction was decreased with the increase of bias power. At the low bias power range of 0~60 W, the compress stress in the deposited thin film was in the range of -34 ~ -77 Mpa which is generally lower than the residual stress observed for the nanocrystalline silicon thin films deposited by capacitively coupled plasma.

  • PDF

The Effects of the Drive-in Process Parameters on the Residual Stress Profile of the p+ Thin Film (후확산 공정 조건이 p+ 박막의 간류 응력 분포에 미치는 영향)

  • Park, T.G.;Jeong, O.C.;Yang, S.S.
    • Proceedings of the KIEE Conference
    • /
    • 1998.11c
    • /
    • pp.1007-1009
    • /
    • 1998
  • In this paper, the effects of the drive-in process parameters on the residual stress profile of the p+ silicon film has been investigated. All the residual stress profile has been estimated by the second-order polynomial. All the coefficients of the polynomial have been determined from the measurement of the deflections of cantilevers and a rotating beam by using a surface profiler meter and by means of focusing a calibrated microscope. As the drive-in temperature or the drive-in time increases, the boron concentration decreases and the magnitude of the average residual stress decreases. If the boron concentration decreases the tensile residual stress decreases except near the surface where the magnitude of compressive residual stress increases.

  • PDF

Estimation of Thermal Stresses Induced in Polymeric Thin Film Using Boundary Element Methods

  • Lee, Sang-Soon
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2002.11a
    • /
    • pp.27-33
    • /
    • 2002
  • The residual thermal stresses at the interface corner between the elastic substrate and the viscoelastic thin film due to cooling from cure temperature down to room temperature have been studied. The polymeric thin film was assumed to be thermorheologically simple. The boundary element method was employed to investigate the nature of stresses on the whole interface. Numerical results show that very large stress gradients are present at the interface comer and such stress singularity might lead to edge cracks or delamination.

  • PDF

Residual Stress Behavior of High Temperature Polyimide Thin Films depending on the Structural Isomers of Diamine (Diamine의 구조적 이성질체에 따른 내열성 폴리이미드 박막의 잔류응력거동)

  • 임창호;정현수;한학수
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.6 no.2
    • /
    • pp.23-30
    • /
    • 1999
  • The relationships between morphological structures and residual stress behaviors of polyimide thin films depending on isomeric diamines were investigated. For this study, Poly(phenylene biphenyltetracarboximide) (BPDA-PDA) and poly(oxydiphenylene biphenyltetracarboximide) (BPDA-ODA) films were prepared from their isomeric diamines: 1,3-phenylene diamine (1,3-PDA) 1,4-phenylene diamine (1.4-PDA), 3,4'-oxydiphenylene diamine (3,4'-ODA), and 4,4'-oxydiphenylene diamine (4,4'-ODA), respectively. For those films, residual stresses were detected in-situ during thermal imidization of the isomeric polyimide as a function of processing temperature over the range of 25~$400^{\circ}C$ using. Thin Film Stress Analyzer (TFSA). In comparison, residual stress of BPDA-1.4PDA having better in-plain orientation and chain order was the lowest value of 7MPa whereas those of BPDA-1,3-PDA, BPDA-3,4'-ODA, and BPDA-4,4'-ODA were in the range of 40-50MPa. Conclusively, the effect of morphological nature (chain rigidity, chain order, orientation) and chain mobility relating to the g1ass transition behavior on the residual stress of isomeric polyimide thin films wart analyzed.

  • PDF

Dependence of Annealing Temperature on Properties of PZT Thin Film Deposited onto SGGG Substrate

  • Im, In-Ho;Chung, Kwang-Hyun;Kim, Duk-Hyun
    • Transactions on Electrical and Electronic Materials
    • /
    • v.15 no.5
    • /
    • pp.253-256
    • /
    • 2014
  • $Pb(Zr_{0.52}Ti_{0.48})O_3$ thin films of $1.5{\mu}m$ thickness were grown on $Pt/Ti/Gd_3Ga_5O_{12}$ substrate by RF magnetron sputtering at annealing temperatures ranging from $550^{\circ}C$ to $700^{\circ}C$. We evaluated the residual stress, by using a William-Hall plot, as a function of the annealing temperatures of PZT thin film with a constant thickness. As a result, the residual stresses of PZT thin film of $1.5{\mu}m$ thickness were changed by varying the annealing temperature. Also, we measured the hysteresis characteristic of PZT thin films of $1.5{\mu}m$ thickness to evaluate for application of an optoelectronic device.

Fabrication of low-stress silicon nitride film for application to biochemical sensor array

  • Sohn, Young-Soo
    • Journal of Sensor Science and Technology
    • /
    • v.14 no.5
    • /
    • pp.357-361
    • /
    • 2005
  • Low-stress silicon nitride (LSN) thin films with embedded metal line have been developed as free standing structures to keep microspheres in proper locations and localized heat source for application to a chip-based sensor array for the simultaneous and near-real-time detection of multiple analytes in solution. The LSN film has been utilized as a structural material as well as a hard mask layer for wet anisotropic etching of silicon. The LSN was deposited by LPCVD (Low Pressure Chemical Vapor Deposition) process by varing the ratio of source gas flows. The residual stress of the LSN film was measured by laser curvature method. The residual stress of the LSN film is 6 times lower than that of the stoichiometric silicon nitride film. The test results showed that not only the LSN film but also the stack of LSN layers with embedded metal line could stand without notable deflection.