• Title/Summary/Keyword: SiNx:H film

Search Result 29, Processing Time 0.027 seconds

1 (High Power, High Frequency PECVD 로 증착한 SiNx:H 반사방지막의 화학적 조성 및 광학적 특성 평가)

  • Lee, Min-Jeong;Park, Ji-Hyeon;Lee, Dong-Won;Choe, Dae-Gyu;Lee, Tae-Il;Myeong, Jae-Min
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.05a
    • /
    • pp.62.2-62.2
    • /
    • 2011
  • 산업화 이후, 석탄 석유를 중심으로 한 화석연료가 이산화탄소를 대량으로 배출하며 지구 온난화를 야기함에 따라, 기존의 화석연료를 대체할 청정하고 무한 재생 가능한 대체에너지로 가장 큰 기대를 받고 있는 것은 태양에너지이며, 이에 보조를 맞춰 태양광발전에 대한 연구개발이 국내외적으로 활발히 진행되고 있는 실정이다. 태양 전지는 빛 에너지를 직접 전기 에너지로 바꿔주는 소자로, 셀의 효율을 높이기 위해서는 최대한 많은 빛을 흡수시킬 수 있는 것이 중요하다. 빛의 반사를 줄이는 방법에는 texturing과 antireflecting coating이 있다. Antireflecting coating은 반도체와 공기의 중간 굴절율을 갖는 박막을 증착하여 측면 반사를 감소시킴으로서 빛의 손실을 감소시키는 역할을 한다. 과거에 반사방지막으로 가장 많이 사용되었던 물질은 SiO로써 굴절률은 1.8~1.9로서 최소의 반사율은 1% 미만이지만, 가시광선영역에서의 흡수에 의한 손실이 생기므로, SiNx가 대체 물질로 제안되었다. SiNx의 경우 굴절률이 약 1.5로서 Si에 쉽게 형성시킬 수 있고, texturing된 Si 표면에 적합하며 반사율을 10%에서 2%로 줄일 수 있는 장점을 가지고 있다. 따라서 본 연구에서는 high power, high frequency PECVD 방법으로 $SiH_4$$NH_3$ gas의 비율, $N_2$ carrier gas 등 공정 변수를 변화시켜 증착한 SiNx 박막의 결정학적 특성을 X-ray diffraction 분석과 XPS (X-ray photoelectron spectroscopy)를 통해 화학적 결합을 확인하였고, 이를 FT-IR (Fourier Transform-Infrared spectroscopy)를 통해 관찰한 결과와 연관시켜 분석하였다. 굴절율의 경우 ellipsometer를 이용하여 측정하였으며 위의 측정을 통하여 SiNx박막의 반사 방지막으로써의 가능성을 확인 하였다.

  • PDF

Synthesis of SiNx:H films in PECVD using RF/UHF hybrid sources

  • Shin, K.S.;Sahu, B.B.;Lee, J.S.;Hori, M.;Han, Jeon G.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2015.08a
    • /
    • pp.136.1-136.1
    • /
    • 2015
  • In the present study, UHF (320 MHz) in combination with RF (13.56 MHz) plasmas was used for the synthesis of hydrogenated silicon nitride (SiNx:H) films by PECVD process at low temperature. RF/UHF hybrid plasmas were maintained at a fixed pressure of 410 mTorr in the N2/SiH4 and N2/SiH4/NH3 atmospheres. To investigate the radical generation and plasma formation and their control for the growth of the film, plasma diagnostic tools like vacuum ultraviolet absorption spectroscopy (VUVAS), optical emission spectroscopy (OES), and RF compensated Langmuir probe (LP) were utilized. Utilization of RF/UHF hybrid plasmas enables very high plasma densities ~ 1011 cm-3 with low electron temperature. Measurements using VUVAS reveal the UHF source is quite effective in the dissociation of the N2 gas to generate more active atomic N. It results in the enhancement of the Si-N bond concentration in the film. Consequently, the deposition rate has been significantly improved up to 2nm/s for the high rate synthesis of highly transparent (up to 90 %) SiNx:H film. The films properties such as optical transmittance and chemical composition are investigated using different analysis tools.

  • PDF

Effects of Stress Mismatch on the Electrical Characteristics of Amorphous Silicon TFTs for Active-Matrix LCDs

  • Lee, Yeong-Shyang;Chang, Jun-Kai;Lin, Chiung-Wei;Shih, Ching-Chieh;Tsai, Chien-Chien;Fang, Kuo-Lung;Lin, Hun-Tu;Gan, Feng-Yuan
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.729-732
    • /
    • 2006
  • The effect of stress match between silicon nitride ($SiN_2$) and hydrogenated amorphous silicon (a-Si:H) layers on the electrical characteristics of thin-film transistors (TFTs) has been investigated. The result shows that modifying the deposition conditions of a Si:H and $SiN_2$ thin films can reduce the stress mismatch at a-Si:H/SiNx interface. Moreover, for best a-Si:H TFT characteristics, the internal stress of gate $SiN_2$ layer with slightly nitrogen-rich should be matched with that of a-Si:H channel layer. The ON current, field-effect mobility, and stability of TFTs can be enhanced by controlling the stress match between a-Si:H and gate insulator. The improvement of these characteristics appears to be due to both the decrease of the interface state density between the a-Si:H and SiNx layer, and the good dielectric quality of the bottom nitride layer.

  • PDF

A Study on High Frequency-Plasma Enhanced Chemical Vapor Deposition Silicon Nitride Films for Crystalline Silicon Solar Cells

  • Li, Zhen-Hua;Roh, Si-Cheol;Ryu, Dong-Yeol;Choi, Jeong-Ho;Seo, Hwa-Il;Kim, Yeong-Cheol
    • Transactions on Electrical and Electronic Materials
    • /
    • v.12 no.4
    • /
    • pp.156-159
    • /
    • 2011
  • SiNx:H films have been widely used for anti-reflection coatings and passivation for crystalline silicon solar cells. In this study, SiNx:H films were deposited using high frequency (13.56 MHz) direct plasma enhanced chemical vapor deposition, and the optical and passivation properties were investigated. The radio frequency power, the spacing between the showerhead and wafer, the $NH_3/SiH_4$ ratio, the total gas flow, and the $N_2$ gas flow were changed over certain ranges for the film deposition. The thickness uniformity, the refractive index, and the minority carrier lifetime were then measured in order to study the properties of the film. The optimal deposition conditions for application to crystalline Si solar cells are determined from the results of this study.

PECVD Silicon Nitride Film Deposition and Annealing Optimization for Solar Cell Application (태양전지 응용을 위한 PECVD 실리콘 질화막 증착 및 열처리 최적화)

  • Yoo, Jin-Su;Dhungel Suresh Kumar;Yi, Jun-Sin
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.55 no.12
    • /
    • pp.565-569
    • /
    • 2006
  • Plasma enhanced chemical vapor deposition(PECVD) is a well established technique for the deposition of hydrogenated film of silicon nitride (SiNx:H), which is commonly used as an antireflection coating as well as passivating layer in crystalline silicon solar cell. PECVD-SiNx:H films were investigated by varying the deposition and annealing conditions to optimize for the application in silicon solar cells. By varying the gas ratio (ammonia to silane), the silicon nitride films of refractive indices 1.85 - 2.45 were obtained. The film deposited at $450^{\circ}C$ showed the best carrier lifetime through the film deposition rate was not encouraging. The film deposited with the gas ratio of 0.57 showed the best carrier lifetime after annealing at a temperature of $800^{\circ}C$. The single crystalline silicon solar cells fabricated in conventional industrial production line applying the optimized film deposition and annealing conditions on large area substrate of size $125mm{\times}125mm$ (pseudo square) was found to have the conversion efficiencies as high as 17.05 %. Low cost and high efficiency silicon solar cells fabrication sequence has also been explained in this paper.

Low Temperature Deposition a-SiNx:H Using ICP Source (ICP Source를 이용한 저온 증착 a-SiNx:H 특성 평가)

  • Kang, Sung-Chil;Lee, Dong-Hyeok;So, Hyun-Wook;Jang, Jin-Nyoung;Hong, Mun-Pyo;Kwon, Kwang-Ho
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.24 no.7
    • /
    • pp.532-536
    • /
    • 2011
  • The silicon nitride films were prepared by chemical vapor deposition using inductively coupled plasma. During the deposition, the substrate was heated at $150^{\circ}C$ and power 1,000 W. To evolution low temperature manufacture, we have studied the role of source gases, $SiH_4$, $NH_3$, $N_2$, and $H_2$, to produce Si-N and N-H bond in a-SiNx:H film growth. $SiH_4$, $NH_3$, and $N_2$ flow rate fixed at 100, 10, and 10 sccm, $H_2$ flow rate varied from 0 to 10 sccm by small scale. To get the electrical characteristics, we makes MIM structure, and analysis surface bonding state. Experimental data show that Si-N and N-H bond is increased and hence electrical characteristics is showed 3 MV/cm breakdown-voltage, and leakage-current $10^{-7}\;A/cm^2$.

Excimer Laser Annealing Effects of Double Structured Poly-Si Active Layer (이중 활성층(a-Si/a-SiNx)의 XeCl 엑시머 레이저 어닐링 효과)

  • 최홍석;박철민;전재홍;유준석;한민구
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.35D no.6
    • /
    • pp.46-53
    • /
    • 1998
  • A new method to form the double structured active layers of a-Si/a-SiN$_{x}$ of polycrystalline thin film transistor is proposed and poly-Si TFTs employed double structure active film are fabricated. Nitrogen ions were added to bottom amorphous silicon active film(a-SiN$_{x}$ ) and pure a-Si film deposition on a-SiN$_{x}$ was followed. The XeCl excimer laser was irradiated to crystallize double structure active film. The grain growth of upper a-Si film was also promoted in the double structured active layers of a-Si/a-SiN$_{x}$ due to the mitigation of solidification process of lower a-SiN$_{x}$ layer. Our experimental results show that the ratio of NH$_3$/SiH$_4$ is required to maintain below 0.11 for the reduction of contact resistance of n$^{+}$ poly-SiN$_{x}$ layer.r.

  • PDF

Low voltage stability of a-Si:H TFTs with $SiN_x$ dielectric films prepared by PECVD using Taguchi methods

  • Wu, Chuan-Yi;Sun, Kuo-Sheng;Cho, Shih-Chieh;Lin, Hong-Ming
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07a
    • /
    • pp.272-275
    • /
    • 2005
  • The high stability of a-Si:H TFTs device is studied with different deposited conditions of $SiN_x$ films by PECVD. The process parameters of $N_2$, $NH_3$ gas flow rate, RF power, and pressure s of hydrogenated amorphous silicon nitride are taken into account and analyzed by Taguchi experimental design method. The $NH_3$ gas flow rate and RF power are two major factors on the average threshold voltage and the a-SiNx:H film's structure. The hydrogen contents in $SiN_x$ films were measured by FTIR using the related Si-H/N-H bonds ratio in $a-SiN_x:H$ films. After the 330,000 sec gate bias stress is applied, the threshold voltages ($V_th$) shift less than 10%. This result indicates that the highly stable a-Si:H TFTs device can be fabricated with optimum gate $SiN_x$ insulator.

  • PDF

Expanding Thermal Plasma CVD of Silicon Thin Films and Nano-Crystals: Fundamental Studies and Applications

  • Sanden, Richard Van De
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.78-78
    • /
    • 2012
  • In this presentation I will review the expanding thermal plasma chemical vapour deposition (ETP-CVD) technology, a deposition technology capable of reaching ultrahigh deposition rates. High rate deposition of a-Si:H, ${\mu}c$-Si:H, a-SiNx:H and silicon nanocrystals will be discussed and their various applications, mainly for photovoltaic applications demonstrated. An important aspect over the years has been the fundamental investigation of the growth mechanism of these films. The various in situ (plasma) and thin film diagnostics, such as Langmuir probes, retarding field analyzer, (appearance potential) mass spectrometry and cavity ring absorption spectroscopy, spectroscopic ellipsometry to name a few, which were successfully applied to measure radical and ion density, their temperature and kinetic energy and their reactivity with the growth surface. The insights gained in the growth mechanism provided routes to novel applications of the ETP-CVD technology, such as the ultrahigh high growth rate of silicon nanorystals and surface passivation of c-Si surfaces.

  • PDF

Effect of Laser Ablation on Rear Passivation Stack for N-type Bifacial Solar Cell Application (N형 양면 수광 태양전지를 위한 레이저 공정의 후면 패시베이션 적층 구조 영향성)

  • Kim, Kiryun;Chang, Hyo Sik
    • Korean Journal of Materials Research
    • /
    • v.30 no.5
    • /
    • pp.262-266
    • /
    • 2020
  • In this paper, we investigated the effect of the passivation stack with Al2O3, hydrogenated silicon nitride (SiNx:H) stack and Al2O3, silicon oxynitride (SiONx) stack in the n type bifacial solar cell on monocrystalline silicon. SiNx:H and SiONx films were deposited by plasma enhanced chemical vapor deposition on the Al2O3 thin film deposited by thermal atomic layer deposition. We focus on passivation properties of the two stack structure after laser ablation process in order to improve bifaciality of the cell. Our results showed SiNx:H with Al2O3 stack is 10 mV higher in implied open circuit voltage and 60 ㎲ higher in minority carrier lifetime than SiONx with Al2O3 stack at Ni silicide formation temperature for 1.8% open area ratio. This can be explained by hydrogen passivation at the Al2O3/Si interface and Al2O3 layer of laser damaged area during annealing.