• Title/Summary/Keyword: Plasma dry etching

Search Result 222, Processing Time 0.029 seconds

A Study on the Properties of Platinum Dry Etching using the MICP (MICP를 이용한 Platinum 건식 식각 특성에 관한 연구)

  • Kim, Jin-Sung;Kim, Jung-Hun;Kim, Youn-Taeg;Joo, Jung-Hoon;Whang, Ki-Woong
    • Proceedings of the KIEE Conference
    • /
    • 1997.11a
    • /
    • pp.279-281
    • /
    • 1997
  • The properties of Platinum dry etching were investigated in MICP(Magnetized Inductively Coupled Plasma). The problem with Platinum etching is the redeposition of sputtered Platinum on the sidewall. Because of the redeposits on the sidewall, the etching of patterned Platinum structure produce feature sizes that exceed the original dimension of the PR size and the etch profile has needle-like shape.[1] Generally, $Cl_2$ plasma is used for the fence-free etching.[1][2][3] The main object of this study was to investigate a new process technology for the fence-free Pt etching. Platinum was etched with Ar plasma at the cryogenic temperature and with Ar/$SF_6$ plasma at room temperature. In cryogenic etching, the height of fence was reduced to 20% at $-190^{\circ}C$ compared with that of room temp., but the etch profile was not fence-free. In Ar/$SF_6$ Plasma, chemical reaction took part in etching process. The trend of properties of Ar/$SF_6$ Plasma etching is similar to that of $Cl_2$ Plasma etching. Fence-free etching was possible, but PR selectivity was very low. A new gas chemistry for fence-free Platinum etching was proposed in this study.

  • PDF

Dry etching of ZnO thin film using a $CF_4$ mixed by Ar

  • Kim, Do-Young;Kim, Hyung-Jun
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.1504-1507
    • /
    • 2009
  • In this paper, the etching behavior of ZnO in $CF_4$ plasma mixed Ar was investigated. Previously, the etch rate in $CF_4$/Ar plasma was reported that it is slower than that in Cl containing plasma. But, plasma included Cl atom can produce the by-product such as $ZnCl_2$. In order to solve this film contamination, no Cl containing etching gas is required. We controlled the etching parameter such as source power, substrate bias power, and $CF_4$/Ar gas ratio to acquire the fast etch rate using a ICP etcher. We accomplished the etching rate of 144.85 nm/min with the substrate bias power of 200W. As the energetic fluorine atoms were bonded with Zinc atoms, the fluoride zinc crystal ($ZnF_2$) was observed by X-ray photoelectron spectroscopy (XPS).

  • PDF

The Characteristic Variation of Mask with Plasma Treatment (플라즈마 처리에 의한 마스크 특성 변화)

  • Kim, Jwa-Yeon;Choi, Sang-Su;Kang, Byung-Sun;Min, Dong-Soo;An, Young-Jin
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.2
    • /
    • pp.111-117
    • /
    • 2008
  • We have studied surface roughness, contamination of impurity, bonding with some gas element, reflectance and zeta potential on masks to be generated or changed during photolithography/dry or wet etching process. Mask surface roughness was not changed after photolithography/dry etching process. But surface roughness was changed on some area under MoSi film of Cr/MoSi/Qz. There was not detected any impurity on mask surface after plasma dry etching process. Reflectance of mask was increased after variable plasma etching treatment, especially when mask was treated with plasma including $O_2$ gas. Blank mask was positively charged when the mask was treated with Cr plasma etching gas($Cl_2:250$ sccm/He:20 $sccm/O_2:29$ seem, source power:100 W/bias power:20 W, 300 sec). But this positive charge was changed to negative charge when the mask was treated with $CF_4$ gas for MoSi plasma etching, resulting better wet cleaning. There was appeared with negative charge on MoSi/Qz mask treated with Cr plasma etching process condition, and this mask was measured with more negative after SC-1 wet cleaning process, resulting better wet cleaning. This mask was charged with positive after treatment with $O_2$ plasma again, resulting bad wet cleaning condition.

GaN Dry Etching Characteristics using a planar Inductively coupled plasma (평판형 유도 결합 플라즈마틀 이용한 GaN 건식 식각 특성)

  • Kim, Moon-Young;Kim, Tae-Hyun;Jang, Sang-Hun;Tae, Heung-Sik
    • Proceedings of the KIEE Conference
    • /
    • 1997.11a
    • /
    • pp.276-278
    • /
    • 1997
  • The reliable etching process is one of the essential steps in fabricating GaN based-device. High etch rate is needed to obtain a deeply etched structure and perfect anisotropic etched facet is needed to obtain lasing profile. In the research, therefore, we had proposed a planar inductively coupled plasma etcher (Planar ICP Etcher) as a high density plasma source, and studied the etching mechanism using the $CH_4/H_2$/Ar gas mixture. Dry etching characteristics such as etch rate, anisotropic etching profile and so on, for the III-V nitride layers were investigated using Planar ICP Etcher, based on the plasma characteristic as a variation of plasma process parameters.

  • PDF

A study on Silicon dry Etching for Solar Cell Fabrication Using Hollow Cathode Plasma System (태양전지 제작을 위한 Hollow Cathode Plasma System의 실리콘 건식식각에 관한 연구)

  • ;Suresh Kumar Dhungel
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.53 no.2
    • /
    • pp.62-66
    • /
    • 2004
  • This paper investigated the characteristics of a newly developed high density hollow cathode plasma (HCP) system and its application for the etching of silicon wafers. We used SF$_{6}$ and $O_2$ gases in the HCP dry etch process. Silicon etch rate of $0.5\mu\textrm{m}$/min was achieved with $SF_6$$O_2$plasma conditions having a total gas pressure of 50mTorr, and RF power of 100 W. This paper presents surface etching characteristics on a crystalline silicon wafer and large area cast type multicrystlline silicon wafer. The results of this experiment can be used for various display systems such as thin film growth and etching for TFT-LCDs, emitter tip formations for FEDs, and bright plasma discharge for PDP applications.s.

A study on platinum dry etching using a cryogenic magnetized inductively coupled plasma (극저온 자화 유도 결합 플라즈마를 이용한 Platinum 식각에 관한 연구)

  • 김진성;김정훈;김윤택;황기웅;주정훈;김진웅
    • Journal of the Korean Vacuum Society
    • /
    • v.8 no.4A
    • /
    • pp.476-481
    • /
    • 1999
  • Characteristics of platinum dry etching were investigated in a cryogenic magnetized inductively coupled plasma (MICP). The problem with platinum etching is the redeposition of sputtered platinum on the sidewall. Because of the redeposits on the sidewall, the etching of patterned platinum structure produces feature sizes that exceed the original dimension of the PR size and the etch profile has needle-like shape [1]. The main object of this study was to investigate a new process technology for fence-free Pt etching As bias voltage increased, the height of fence was reduced. In cryogenic etching, the height of fence was reduced to 20% at-$190^{\circ}C$ compared with that of room temperature, however the etch profile was not still fence-free. In Ar/$SF_6$ Plasma, fence-free Pt etching was possible. As the ratio of $SF_6$ gas flow is more than 14% of total gas flow, the etch profile had no fence. Chemical reaction seemed to take place in the etch process.

  • PDF

Dry Etching of Ru Electrodes using O2/Cl2 Inductively Coupled Plasmas

  • Kim, Hyoun Woo
    • Corrosion Science and Technology
    • /
    • v.2 no.5
    • /
    • pp.238-242
    • /
    • 2003
  • The characteristics of Ru etching using $O_2/Cl_2$ plasmas were investigated by employing inductively coupled plasma (ICP) etcher. The changes of Ru etch rate, Ru to $SiO_2$ etch selectivity and Ru electrode etching slope with the gas flow ratio, bias power, total gas flow rate, and source power were scrutinized. A high etching slope (${\sim}86^{\circ}$) and a smooth surface after etching was attained using $O_2/Cl_2$ inductively coupled plasma.

An Investigation of Selective Etching of GaAs to Al\ulcornerGa\ulcornerAs Using BCI$_3$SF\ulcorner Gas Mixture in ECR Plasma (ECR 플라즈마에서 $BCI_3/SF_6$ 혼합 가스를 이용한 $Al_{0.25}Ga_{0.75}As$에 대한 GaAs의 선택적 식각에 대한 연구)

  • 이철욱;이동율;손정식;배인호;박성배
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.11 no.6
    • /
    • pp.447-452
    • /
    • 1998
  • The selective dry etching of GaAs to Al\ulcornerGa\ulcornerAs using $BCI_3/SF_6$ gas mixture in electron cyclotron resonance(ECR) plasma is investigated. A selectivity of GaAs to AlGaAs of more than 100 and maximum etch rate of GaAs are obtained at a gas ratio $SF_6/BCI_3+SF_6$ of 25%. We verified the formation of $AlF_3$ on $Al_{0.25}Ga_{0.75}As$from the Auger spectra which enhanced the etch selectivity. In order to investigate surface damage of AlGaAs caused by ECR plasma, we performed a low temperature photoluminescence(PL) measurement as a function of RF power. As the RF power. As the RF power increases, the PL intensity decreases monotonically from 50 to 100 Wand then repidly decreases until 250 W. This behavior is due to surface damage by plasma treatment. This dry etching technique using $BCI_3/SF_6$ gas mixture in ECR plasma is suitable for gate recess formation on the GaAs based pseudomorphic high electron mobility transistor(PHEMT)

  • PDF

Characterization of Deep Dry Etching of Silicon Single Crystal by HDP (HDP를 이용한 실리콘 단결정 Deep Dry Etching에 관한 특성)

  • 박우정;김장현;김용탁;백형기;서수정;윤대호
    • Journal of the Korean Ceramic Society
    • /
    • v.39 no.6
    • /
    • pp.570-575
    • /
    • 2002
  • The present tendency of electrical and electronics is concentrated on MEMS devices for advantage of miniaturization, intergration, low electric power and low cost. Therefore it is essential that high aspect ratio and high etch rate by HDP technology development, so that silicon deep trench etching reactions was studied by ICP equipment. Deep trench etching of silicon was investigated as function of platen power, etch step time of etch/passivation cycle time and SF$\_$6/:C$_4$F$\_$8/ flow rate. Their effects on etch profile, scallops, etch rate, uniformity and selectivity were also studied.

ANALYSIS AND INTERPRETATION OF ELECTRIC CHARACTERISTICS OF DRY ETCHING PROCESS FOR THE TFT-LCD FABRICATION

  • Kwon, O-Dae;Kwon, Han-Bum;Yoo, Su-Jin;Kim, Jong-Keun;Jeon, Jae-Hong;Lee, Kang-Woong;Choe, Hee-Hwan;Seo, Jong-Hyun;Seong, Dae-Jin;Kim, Jung-Hyun;Hyeon, Yong
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2007.08a
    • /
    • pp.922-925
    • /
    • 2007
  • In the usual dry etching process for the TFT-LCD fabrication, it is hard to monitor the basic plasma parameters such as density and temperature. However, the basic parameters are easily monitored during the dry etching process. We have simultaneously measured the electric characteristics and basic plasma parameters of the dry etching chamber during the process, analyzed them to interpret plasma parameters. For the Ar plasma discharge case, we could obtain the density and temperature from the electric characteristics using a simple simple sheath model.

  • PDF