• Title/Summary/Keyword: Plasma Etching Process

Search Result 420, Processing Time 0.029 seconds

Endpoint Detection Using Both By-product and Etchant Gas in Plasma Etching Process (플라즈마 식각공정 시 By-product와 Etchant gas를 이용한 식각 종료점 검출)

  • Kim, Dong-Il;Park, Young-Kook;Han, Seung-Soo
    • Journal of IKEEE
    • /
    • v.19 no.4
    • /
    • pp.541-547
    • /
    • 2015
  • In current semiconductor manufacturing, as the feature size of integrated circuit (IC) devices continuously shrinks, detecting endpoint in plasma etching process is more difficult than before. For endpoint detection, various kinds of sensors are installed in semiconductor manufacturing equipments, and sensor data are gathered with predefined sampling rate. Generally, detecting endpoint is performed using OES data of by-product. In this study, OES data of both by-product and etchant gas are used to improve reliability of endpoint detection. For the OES data pre-processing, a combination of Signal to Noise Ratio (SNR) and Principal Component Analysis (PCA),are used. Polynomial Regression and Expanded Hidden Markov model (eHMM) technique are applied to pre-processed OES data to detect endpoint.

Ferroelectric characteristics of PZT capacitors fabricated by using chemical mechanical polishing process with change of process parameters (화학적기계적연마 공정으로 제조한 PZT 캐패시터의 공정 조건에 따른 강유전 특성 연구)

  • Jun, Young-Kil;Jung, Pan-Gum;Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.66-66
    • /
    • 2007
  • Lead zirconate titanate (PZT) is one of the most attractive perovskite-type materials for ferroelectric random access memory (FRAM) due to its higher remanant polarization and the ability to withstand higher coercive fields. We first applied the damascene process using chemical mechanical polishing (CMP) to fabricate the PZT thin film capacitor to solve the problems of plasma etching including low etching profile and ion charging. The $0.8{\times}0.8\;{\mu}m$ square patterns of silicon dioxide on Pt/Ti/$SiO_2$/Si substrate were coated by sol-gel method with the precursor solution of PZT. Damascene process by CMP was performed to pattern the PZT thin film with the vertical sidewall and no plasma damage. The polarization-voltage (P-V) characteristics of PZT capacitors and the current-voltage characteristics (I-V) were examined by change of process parameters. To examine the CMP induced damage to PZT capacitor, the domain structure of the polished PZT thin film was also investigated by piezoresponse force microscopy (PFM).

  • PDF

Study on the Etching Characteristics of Fine Ta patterns by Actinometry Method (Actinometry를 이용한 Ta 미세 패턴 식각 특성에 관한 연구)

  • 김상훈;안진호
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.7 no.4
    • /
    • pp.43-47
    • /
    • 2000
  • The etching characteristic of a tantalum thin film with pure chlorine plasma was studied using an electron cyclotron resonance etcher system. Optical emission actinometry (OEA) was used for the study of the etching mechanism of a tantalum thin film and optimum process condition was achieved by OEA study. Based on this mechanism, double step etching was performed and 0.15 $\mu\textrm{m}$ L & S was acquired successfully suppressing the microloading effect.

  • PDF

Fabrication of a silicon pressure sensor for measuring low pressure using ICP-RIE (ICP-RIE를 이용한 저압용 실리콘 압력센서 제작)

  • Lee, Young-Tae;Takao, Hidekuni;Ishida, Makoto
    • Journal of Sensor Science and Technology
    • /
    • v.16 no.2
    • /
    • pp.126-131
    • /
    • 2007
  • In this paper, we fabricated piezoresistive pressure sensor with dry etching technology which used ICP-RIE (inductively coupled plasma reactive ion etching) and etching delay technology which used SOI (silicon-on-insulator). Structure of the fabricated pressure sensor shows a square diaphragm connected to a frame which was vertically fabricated by dry etching process and a single-element four-terminal gauge arranged at diaphragm edge. Sensitivity of the fabricated sensor was about 3.5 mV/V kPa at 1 kPa full-scale. Measurable resolution of the sensor was not exceeding 20 Pa. The nonlinearity of the fabricated pressure sensor was less than 0.5 %F.S.O. at 1 kPa full-scale.

Fabrication of Nanostructures by Dry Etching Using Dewetted Pt Islands as Etch-masks (Dewetting된 Pt Islands를 Etch Mask로 사용한 GaN 나노구조 제작)

  • Kim, Taek-Seung;Lee, Ji-Myon
    • Korean Journal of Materials Research
    • /
    • v.16 no.3
    • /
    • pp.151-156
    • /
    • 2006
  • A method for fabrication of nano-scale GaN structure by inductively coupled plasma etching is proposed, exploiting a thermal dewetting of Pt thin film as an etch mask. The nano-scale Pt metal islands were formed by the dewetting of 2-dimensional film on $SiO_2$ dielectric materials during rapid thermal annealing process. For the case of 30 nm thick Pt films, pattern formation and dewetting was initiated at temperatures greater $600^{\circ}C$. Controlling the annealing temperature and time as well as the thickness of the Pt metal film affected the size and density of Pt islands. The activation energy for the formation of Pt metal island was calculated to be 23.2 KJ/mole. The islands show good resistance to dry etching by a $CF_4$ based plasma for dielectric etching indicating that the metal islands produced by dewetting are suitable for use as an etch mask in the fabrication of nano-scale structures.

High Density Inductive Coupled Plasma Etching of InP in $BCl_3$-based chemistries ($BCl_3$ 기반의 혼합 가스들을 이용한 InP 고밀도 유도결합 플라즈마 식각)

  • Cho, Guan-Sik;Lim, Wan-Tae;Baek, In-Kyoo;Lee, Je-Won;Jeon, Min-Hyun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.75-79
    • /
    • 2003
  • We studied InP etch results in high density planar inductively coupled $BCl_3$ and $BCl_3$/Ar plasmas. The investigated process parameters were ICP source power, RIE chuck power, chamber pressure and $BCl_3$/Ar gas composition. It was found that increase of ICP source power and RIE chuck power raised etch rate of InP, while that of chamber pressure decreased etch rate. Etched InP surface was clean and smooth (RMS roughness < 2 nm) with a moderate etch rate ($300\;{\sim}\;500\;{\AA}/min$) after the planar $BCl_3/Ar$ ICP etching. It may make it possible to open a new regime of InP etching with $CH_4/H_2$ - free plasma chemistry. Some amount of Ar addition (< 50%) also improved etch rates of InP, while too much Ar addition reduced etch rates of InP.

  • PDF

The Characteristics of Magnetized Planar type Inductively Coupled Plasma and its Application to a Dry Etching Process (자화된 평판형 유도 결합 플라즈마의 특성 및 건식 식각에의 응용)

  • Lee, Soo-Boo;Park, Hun-Gun;Lee, Seok-Hyun
    • Proceedings of the KIEE Conference
    • /
    • 1997.07d
    • /
    • pp.1364-1366
    • /
    • 1997
  • Planar type magnetized inductively coupled plasma etcher has been built. The density and temperature of Ar plasma are measured as a function of rf power, external magnetic field, and pressure. The oxide etch rate and selectivity to polysilicon are measured as the above mentioned conditions and self-bias voltage.

  • PDF

A Semiconductor Etching Process Monitoring System Development using OES Sensor (OES 센서를 이용한 반도체 식각 공정 모니터링 시스템 개발)

  • Kim, Sang-Chul
    • Journal of the Korea Society of Computer and Information
    • /
    • v.18 no.3
    • /
    • pp.107-118
    • /
    • 2013
  • In this paper, we developed the semiconductor monitoring system for the etching process. Around the world, expert companies are competing fiercely since the semiconductor industry is a leading value-added industry that produces the essential components of electronic products. As a result, many researches have been conducted in order to improve the quality, productivity, and characteristics of semiconductor products. Process monitoring techniques has an important role to give an equivalent quality and productivity to produce semiconductor. In fact, since the etching process to form a semiconductor circuit causes great damage to the semiconductors, it is very necessary to develop a system for monitoring the process. The proposed monitoring system is mainly focused on the dry etching process using plasma and it provides the detailed observation, analysis and feedback to managers. It has the functionality of setting scenarios to match the process control automatically. In addition, it maximizes the efficiency of process automation. The result can be immediately reflected to the system since it performs real-time monitoring. UI (User Interface) provides managers with diagnosis of the current state in the process. The monitoring system has diverse functionalities to control the process according to the scenario written in advance, to stop the process efficiently and finally to increase production efficiency.

Fault Detection of Plasma Etching Processes with OES and Impedance at CCP Etcher

  • Choi, Sang-Hyuk;Jang, Hae-Gyu;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.257-257
    • /
    • 2012
  • Fault detection was carried out in a etcher of capacitive coupled plasma with OES (Optical Emission Spectroscopy) and impedance by VI probe that are widely used for process control and monitoring at semiconductor industry. The experiment was operated at conventional Ar and Fluorocarbon plasma with variable change such as pressure and addition of N2 and O2 to assume atmospheric leak, RF power and pressure that are highly possible to impact wafer yield during wafer process, in order to observe OES and VI Probe signals. The sensitivity change on OES and Impedance by VI probe was analyzed by statistical method including PCA to determine healthy of process. The main goal of this study is to find feasibility and limitation of OES and Impedances for fault detection by shift of plasma characteristics and to enhance capability of fault detection using PCA.

  • PDF

Oxidative Etching of Imprinted Nanopatterns by Combination of Vacuum Annealing and Plasma Treatment

  • Park, Dae Keun;Kang, Aeyeon;Jeong, Mira;Lee, Jae-Jong;Yun, Wan Soo
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.251.1-251.1
    • /
    • 2013
  • Combination of oxidative vacuum annealing and oxygen plasma treatment can serve as a simple and efficient method of line-width modification of imprinted nanopatterns. Since the vacuum annealing and oxygen plasma could lead mass loss of polymeric materials, either one of the process can yield a narrowed patterns. However, the vacuum annealing process usually demands quite high temperatures (${\geq}300^{\circ}C$) and extended annealing time to get appreciable line-width reduction. Although the plasma treatment may be considered as an effective low temperature rapid process for the line-width reduction, it is also suffering for the lowered controllability on application to very fine patterns. We have found that the vacuum annealing temperature can be lowered by introducing the oxygen in the vacuum process and that the combination of oxygen plasma treatment with the vacuum annealing could yield the best result in the line-with reduction of the imprinted polymeric nanopatterns. Well-defined line width reduction by more than 50% was successfully demonstrated at relatively low temperatures. Furthermore, it was verified that this process was applicable to the nanopatterns of different shapes and materials.

  • PDF