• Title/Summary/Keyword: PLASMA ETCHING

Search Result 1,038, Processing Time 0.032 seconds

Physicochemical Characterization of PET Fabrics Treated with Chitosan after Exposure to $O_2$ Low Temperature Plasma - Especially by KES evaluation - (저온 플라즈마 처리한 폴리에스테르 직물의 키토산 처리에 따른 물리화학적 특성변화 -KES평가를 중심으로-)

  • Koo Kang;Kim Sam Soo;Park Young Mi;Yu Jae Yeong;Koo Bon Shik;Yoo Seung Chun
    • Textile Coloration and Finishing
    • /
    • v.17 no.5 s.84
    • /
    • pp.26-36
    • /
    • 2005
  • This study was carried to evaluate mechanical characteristics of Poly(ethylene terephthalate) fabrics (by Kawabata evaluation system(KES)) which was systematically treated with $O_2$ low temperature plasma and chitosan acetate solution. Furthermore, surface structure was investigated by SEM, AFM, air permeability and wettability. Tensile energy(WT), shear rigidity(G) and surface roughness(MIU) properties calculated by KES-FB have increased with increasing plasma treatment time, while bending rigidity(G) and energy of compression(WC) value were decreased compared with those of the untreated. SEM photographs showed the identification of chitosan coating but did not confirm the plasma etching structure. Air permeability was decreased according to plasma treatment time with increasing concentrations of chitosan. The water absorption rate made rapid progress by chitosan treatment.

A Study on SiC Buffer Layer Prepared by Ultra High Vacuum Electron Cyclotron Resonance CVD (초고진공 전자공명 플라즈마를 이용한 SiC buffer layer 형성에 관한 연구)

  • Joen, Woo-Gon;Pyo, Jae-Hwak;Whang, Ki-Woong
    • Proceedings of the KIEE Conference
    • /
    • 1995.11a
    • /
    • pp.326-328
    • /
    • 1995
  • SiC buffer layers were grown on Si(100) substrates by ultra-high-vacuum electron cryclotron resonance plasma (UHV ECR plasma) from $CH_4/H_2$ mixture at 700$^{\circ}C$. The electron densities and temperature were measured by single probe. The axial plasma potentials measured by emissive probe had the double layer structure at positive substrate bias. Piranha cleaning was carried out as ex-situ wet cleaning. Clean and smooth silicon surface were prepared by in-situ hydrogen plasma cleaning at 540$^{\circ}C$. A short exposure to hydrogen plasma transforms the Si surface from 1$\times$1 to 2$\times$1 reconstruction. It was monitored by reflection high energy electron diffraction (RHEED). The defect densities were analysed by the dilute Schimmel etching. The results showed that the substrate bias is important factor in hydrogen plasma cleaning. The low base pressure ($5\times10^{-10}$ torr) restrains the $SiO_2$ growth on silicon surface. The grown layers showed different characteristics at various substrate bias. RHEED and K-ray Photoelectron spectroscopy study showed that grown layer was SiC.

  • PDF

$H_2$ plasma treatment effects on electrical and optical properties of the BZO (ZnO:B) thin films

  • Yoo, Ha-Jin;Son, Chan-Hee;Choi, Joon-Ho;Kang, Jung-Wook;Cho, Won-Tae;Park, Sang-Gi;Lee, Yong-Hyun;Choi, Eun-Ha;Cho, Guang-Sup;Kwon, Gi-Chung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.309-309
    • /
    • 2010
  • We have investigated the effect of $H_2$ plasma treatment on the BZO (ZnO:B, Boron doped ZnO) thin films. The BZO thin films are prepared by LP-MOCVD (Low Pressure Metal Organic Chemical Vapor Deposition) technique and the samples of BZO thin film are performed with $H_2$ plasma treatment by plasma treatment system with 13.56 MHz as RIE (Reactive Ion Etching) type. After exposing $H_2$ plasma treatment, measurement of transmittance, reflectance and haze spectra in 300~1100 nm, electrical properties as resistivity, mobility and carrier concentration and work function was analysed. Regarding the results of the $H_2$ plasma treatment on the BZO thin films are application to the TCO for solar cells, such as the a-Si thin films solar cell.

  • PDF

Optical properties of the $O_2$ plasma treatment on BZO (ZnO:B) thin films for TCO of a-Si solar cells

  • Yoo, Ha-Jin;Son, Chang-Gil;Cho, Won-Tea;Park, Sang-Gi;Choi, Eun-Ha;Kwon, Gi-Chung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.454-454
    • /
    • 2010
  • In order to achieve a high efficient a-Si solar cell, the TCO (transparent conductive oxide) substrates are required to be a low sheet resistivity, a high transparency, and a textured surface with light trapping effect. Recently, a zinc oxide (ZnO) thin film attracts our attention as new coating material having a good transparent and conductive for TCO of solar cells. In this paper the optical properties of $H_2$ post-treated BZO (boron doped ZnO, ZnO:B) thin film are investigated with $O_2$-plasma treatment. The BZO thin films by MOCVD (Metal Organic Chemical Vapor Deposition) are investigated and the samples of $H_2$ post-treated BZO thin film are tested with $O_2$-plasma treatment by plasma treatment system with 13.56 MHz as RIE (Reactive Ion Etching) type. We measured the optical properties and surface morphology of BZO thin film with and without $O_2$-plasma treatment. The optical properties such as transmittance, reflectance and haze are measured with integrating sphere and ellipsometer. This result of the BZO thin film with and without $O_2$-plasma treatment is application to the TCO for solar cells.

  • PDF

Oxidative Etching of Imprinted Nanopatterns by Combination of Vacuum Annealing and Plasma Treatment

  • Park, Dae Keun;Kang, Aeyeon;Jeong, Mira;Lee, Jae-Jong;Yun, Wan Soo
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.251.1-251.1
    • /
    • 2013
  • Combination of oxidative vacuum annealing and oxygen plasma treatment can serve as a simple and efficient method of line-width modification of imprinted nanopatterns. Since the vacuum annealing and oxygen plasma could lead mass loss of polymeric materials, either one of the process can yield a narrowed patterns. However, the vacuum annealing process usually demands quite high temperatures (${\geq}300^{\circ}C$) and extended annealing time to get appreciable line-width reduction. Although the plasma treatment may be considered as an effective low temperature rapid process for the line-width reduction, it is also suffering for the lowered controllability on application to very fine patterns. We have found that the vacuum annealing temperature can be lowered by introducing the oxygen in the vacuum process and that the combination of oxygen plasma treatment with the vacuum annealing could yield the best result in the line-with reduction of the imprinted polymeric nanopatterns. Well-defined line width reduction by more than 50% was successfully demonstrated at relatively low temperatures. Furthermore, it was verified that this process was applicable to the nanopatterns of different shapes and materials.

  • PDF

Surface Reactions after the Etching of CeO$_2$ Thin films using Inductively Coupled C1$_2$/CF$_4$/Ar Plasmas (유도결합 C1$_2$/CF$_4$/Ar 플라즈마를 이용한 CeO$_2$ 박막 식각후 표면반응)

  • 이병기;김남훈;장윤성;김경섭;김창일;장의구
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.9 no.2
    • /
    • pp.27-31
    • /
    • 2002
  • In this study, $CeO_2$ thin films were etched with an addition of $Cl_2$ gas to $Ar/CF_4$ gas mixing in an inductively coupled plasma (ICP) etcher by the etching parameter such as RF power of 700 W, chamber pressure of 15 mTorr and dc bias voltage of -200 volts. The etch rate of $CeO_2$ films was 250 $\AA$/min with an addition of 10% $Cl_2$ gas to $Ar/CF_4$ gas mixture and the selectivity to SBT film was 0.4 at that condition. The surface reactions of the etched $CeO_2$ thin films were investigated by X-ray photoelectron spectroscopy (XPS). It was analyzed that Ce peaks were mainly observed in Ce-O bonds formed $CeO_2$ or $Ce_2O_3$ compounds. Cl peaks were detected by the peaks of Cl $2p_{3/2}$ and Cl $2p_{1/2}$. Almost all of Cl atoms were combined with Ce atoms like $CeCl_x$ or $Ce_x/O_yCl_z$ compounds.

  • PDF

A Appropriate Flux Generating Conditions for Semiconductor Etching Simulation (반도체 식각 전산모사에 적합한 플럭스 생성 조건)

  • Jeong, Seunghan;Gwun, Oubong;Shin, Seongsik
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.52 no.3
    • /
    • pp.105-115
    • /
    • 2015
  • In semiconductor etching simulation, The source modeling for generating plasma species is required. In this paper, we modeled the source of plasma etching process with probability distribution and the feature profile with simple geometry objects, then got the flux on the feature profile. The distance between the source and the cell on the modeling parameters of the source, there are a number of particles to be emitted from a source, there is a number (area of the cell) of the cell on the profile with additional parameters to give the calculation of flux. The flux error ratio on both gaussian(Incident Flux) and cosine probability distribution(Incident Neutral Flux) is much decreased as the number of ray is increased but the processing time is more increased than that. The increase of the number of cell and distance makes increase the flux error ratio and the processing time moderately. In view of the processing time through the experimental results in this paper, it is possible to analogize the calculation of appropriate fluxes.

Characteristics of Amorphous Silicon Gate Etching in Cl2/HBr/O2 High Density Plasma (Cl2/HBr/O2 고밀도 플라즈마에서 비정질 실리콘 게이트 식각공정 특성)

  • Lee, Won Gyu
    • Korean Chemical Engineering Research
    • /
    • v.47 no.1
    • /
    • pp.79-83
    • /
    • 2009
  • In this study, the characteristics of amorphous silicon etching for the formation of gate electrodes have been evaluated at the variation of several process parameters. When total flow rates composed of $Cl_2/HBr/O_2$ gas mixtures increased, the etch rate of amorphous silicon layer increased, but critical dimension (CD) bias was not notably changed regardless of total flow rate. As the amount of HBr in the mixture gas became larger, amorphous silicon etch rate was reduced by the low reactivity of Br species. In the case of increasing oxygen flow rate, etch selectivity was increased due to the reduction of oxide etch rate, enhancing the stability of silicon gate etching process. However, gate electrodes became more sloped according to the increase of oxygen flow rate. Higher source power induced the increase of amorphous silicon etch rate and CD bias, and higher bias power had a tendency to increase the etch rate of amorphous silicon and oxide.

RIE induced damage recovery on trench surface (트렌치 표면에서의 RIE 식각 손상 회복)

  • 이주욱;김상기;배윤규;구진근
    • Journal of the Korean Vacuum Society
    • /
    • v.13 no.3
    • /
    • pp.120-126
    • /
    • 2004
  • A damage-reduced trench was investigated in view of the defect distribution along trench sidewall and bottom using high resolution transmission electron microscopy, which was formed by HBr plasma and additive gases in magnetically enhanced reactive ion etching system. Adding $O_2$ and other additive gases into HBr plasma makes it possible to eliminate sidewall undercut and lower surface roughness by forming the passivation layer of lateral etching. To reduce the RIE induced damage and obtain the fine shape trench corner rounding, we investigated the hydrogen annealing effect after trench formation. Silicon atomic migration on trench surfaces using high temperature hydrogen annealing was observed with atomic scale view. Migrated atoms on crystal surfaces formed specific crystal planes such as (111), (113) low index planes, instead of fully rounded comers to reduce the overall surface energy. We could observe the buildup of migrated atoms against the oxide mask, which originated from the surface migration of silicon atoms. Using this hydrogen annealing, more uniform thermal oxide could be grown on trench surfaces, suitable for the improvement of oxide breakdown.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF