• Title/Summary/Keyword: Metal etch

Search Result 173, Processing Time 0.032 seconds

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Removal of Post Etch/Ash Residue on an Aluminum Patterned Wafer Using Supercritical CO2 Mixtures with Co-solvents and Surfactants: the Removal of Post Etch/Ash Residue on an Aluminum Patterned Wafer

  • You, Seong-sik
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.2
    • /
    • pp.55-60
    • /
    • 2017
  • The supercritical $CO_2$ (sc-$CO_2$) mixture and the sc-$CO_2$-based Photoresist(PR) stripping(SCPS) process were applied to the removal of the post etch/ash PR residue on aluminum patterned wafers and the results were observed by scanning of electron microscope(SEM). In the case of MDII wafers, the carbonized PR was able to be effectively removed without pre-stripping by oxygen plasma ashing by using sc-$CO_2$ mixture containing the optimum formulated additives at the proper pressure and temperature, and the same result was also able to be obtained in the case of HDII wafer. It was found that the efficiency of SCPS of ion implanted wafer improved as the temperature of SCPS was high, so a very large amount of MEA in the sc-$CO_2$ mixture could be reduced if the temperature could be increased at condition that a process permits, and the ion implanted photoresist(IIP) on the wafer was able to be removed completely without pre-treatment of plasma ashing by using the only 1 step SCPS process. By using SCPS process, PR polymers formed on sidewalls of metal conductive layers such as aluminum films, titanium and titanium nitride films by dry etching and ashing processes were removed effectively with the minimization of the corrosion of the metal conductive layers.

  • PDF

Microfabrication of Photosensitive Glass Using Metal Patterning and Blank Exposure (금속 패터닝과 Blank노광을 이용한 감광성 유리의 미세가공)

  • Jo, Jae-Seung;Kang, Hyung-Bum;Yoon, Hye-Jin;Kim, Hyo-Jin;Lim, Hyun-Woo;Cho, Si-Hyeong;Lim, Sil-Mook
    • Journal of Surface Science and Engineering
    • /
    • v.46 no.3
    • /
    • pp.99-104
    • /
    • 2013
  • The simple and cost-effective microfabrication method of photosensitive glass (PSG) using metal patterning and blank exposure was proposed. Conventional photolithography for micromachining of PSG needs a costly quartz mask which has high transmittance as an optical property. However, in this study the process was improved through the combination of micro-patterned Ti thin film and blank UV exposure without quartz mask. The effect of UV exposure time as well as the DHF etching condition was investigated. UV exposure test was performed within the range from 3 min to 9 min. The color and etch result of PSG exposed for 5 min were the most clear and effective to etch more precisely, respectively. The etching results of PSG in diluted hydrofluoric acid (DHF) with a concentration of 5, 10, 15 vol% were compared. The effect on the side etch was insignificant while the etch rate was proportional as the concentration increased. 10 vol% DHF results not only high etch rate of 75 ${\mu}m/min$ also lower side etch value after PSG etching. This method facilitates the microfabrication of PSG with various patterns and high aspect ratio for applying to advanced applications.

Stability of Co/Ni Silicide in Metal Contact Dry Etch (Co/Ni 복합실리사이드의 메탈 콘택 건식식각 안정성 연구)

  • Song Ohsung;Beom Sungjin;Kim Dugjoong
    • Korean Journal of Materials Research
    • /
    • v.14 no.8
    • /
    • pp.573-578
    • /
    • 2004
  • Newly developed silicide materials for ULSI should have the appropriate electrical property of low resistant as well as process compatibility in conventional CMOS process. We prepared $NiCoSi_x$ silicides from 15 nm-Co/15 nm-Ni/Si structure and performed contact dry etch process to confirm the dry etch stability and compatibility of $NiCoSi_x$ layers. We dry etched the photoresist/SiO/silicide/silicon patterns with $CF_4\;and\;CHF_3$ gases with varying powers from 100 to 200 W, and pressures from 45 to 65 mTorr, respectively. Polysilicon and silicon active layers without silicide were etched $0\sim316{\AA}$ during over etch time of 3min, while silicon layers with proposed $NiCoSi_x$ silicide were not etched and showed stable surfaces. Our result implies that new $NiCoSi_x$ silicides may replace the conventional silicides due to contact etch process compatibility.

The Influence of $O_2$ Gas on the Etch Characteristics of FePt Thin Films in $CH_4/O_2/Ar$ gas

  • Lee, Il-Hoon;Lee, Tea-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.408-408
    • /
    • 2012
  • It is well known that magnetic random access memory (MRAM) is nonvolatile memory devices using ferromagnetic materials. MRAM has the merits such as fast access time, unlimited read/write endurance and nonvolatility. Although DRAM has many advantages containing high storage density, fast access time and low power consumption, it becomes volatile when the power is turned off. Owing to the attractive advantages of MRAM, MRAM is being spotlighted as an alternative device in the future. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal- oxide semiconductor (CMOS). MTJ stacks are composed of various magnetic materials. FePt thin films are used as a pinned layer of MTJ stack. Up to date, an inductively coupled plasma reactive ion etching (ICPRIE) method of MTJ stacks showed better results in terms of etch rate and etch profile than any other methods such as ion milling, chemical assisted ion etching (CAIE), reactive ion etching (RIE). In order to improve etch profiles without redepositon, a better etching process of MTJ stack needs to be developed by using different etch gases and etch parameters. In this research, influences of $O_2$ gas on the etching characteristics of FePt thin films were investigated. FePt thin films were etched using ICPRIE in $CH_4/O_2/Ar$ gas mix. The etch rate and the etch selectivity were investigated in various $O_2$ concentrations. The etch profiles were studied in varying etch parameters such as coil rf power, dc-bias voltage, and gas pressure. TiN was employed as a hard mask. For observation etch profiles, field emission scanning electron microscopy (FESEM) was used.

  • PDF

Investigation on Etch Characteristics of FePt Magnetic Thin Films Using a $CH_4$/Ar Plasma

  • Kim, Eun-Ho;Lee, Hwa-Won;Lee, Tae-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.167-167
    • /
    • 2011
  • Magnetic random access memory (MRAM) is one of the prospective semiconductor memories for next generation. It has the excellent features including nonvolatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack is composed of various magnetic materials, metals, and a tunneling barrier layer. For the successful realization of high density MRAM, the etching process of magnetic materials should be developed. Among various magnetic materials, FePt has been used for pinned layer of MTJ stack. The previous etch study of FePt magnetic thin films was carried out using $CH_4/O_2/NH_3$. It reported only the etch characteristics with respect to the variation of RF bias powers. In this study, the etch characteristics of FePt thin films have been investigated using an inductively coupled plasma reactive ion etcher in various etch chemistries containing $CH_4$/Ar and $CH_4/O_2/Ar$ gas mixes. TiN thin film was employed as a hard mask. FePt thin films are etched by varying the gas concentration. The etch characteristics have been investigated in terms of etch rate, etch selectivity and etch profile. Furthermore, x-ray photoelectron spectroscopy is applied to elucidate the etch mechanism of FePt thin films in $CH_4$/Ar and $CH_4/O_2/Ar$ chemistries.

  • PDF

C-V Characterization of Plasma Etch-damage Effect on (100) SOI (Plasma Etch Damage가 (100) SOI에 미치는 영향의 C-V 특성 분석)

  • Jo, Yeong-Deuk;Kim, Ji-Hong;Cho, Dae-Hyung;Moon, Byung-Moo;Cho, Won-Ju;Chung, Hong-Bay;Koo, Sang-Mo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.8
    • /
    • pp.711-714
    • /
    • 2008
  • Metal-oxide-semiconductor (MOS) capacitors were fabricated to investigate the plasma damage caused by reactive ion etching (RIE) on (100) oriented silicon-on-insulator (SOI) substrates. The thickness of the top-gate oxide, SOI, and buried oxide layers were 10 nm, 50 nm, and 100 nm, respectively. The MOS/SOI capacitors with an etch-damaged SOI layer were characterized by capacitance-voltage (C-V) measurements and compared to the sacrificial oxidation treated samples and the reference samples without etching. The measured C-V curves were compared to the numerical results from corresponding 2-dimensional (2-D) structures by using a Silvaco Atlas simulator.

Etch characteristics of ITO(Indium Tin Oxide)using ${SF_6}/{O_2}$-gas ECR(Electron Cyclotron Resonance) plasmas (ECR을 이용한 ${SF_6}/{O_2}$ 가스 플라즈마에 의한 ITO의 식각 특성연구)

  • 권광호;강승열;김곤호;염근영
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.7
    • /
    • pp.563-567
    • /
    • 2000
  • We presented the etch results of indium-tin oxide thin films by using SF$_{6}$/O$_2$gas electron cyclotron resonance plasma and conducted X-ray phtoelectron spectroscopy and quadrupole mass spectrometer analyses for the etch characteristics. The etch rate of the films was greatly dependent on that of oxygen which was the major constituent element of the films. The oxygen was removed by the forms like $O_2$or SOF$_2$. We examined the ratio of atomic content of O and In and the change of this ratio was related to the removal rate of InF$_{x}$ and the S-metal bonding.ing.

  • PDF

Etching Property of the TaN Thin Film using an Inductively Coupled Plasma (유도결합플라즈마를 이용한 TaN 박막의 식각 특성)

  • Um, Doo-Seung;Woo, Jong-Chang;Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.104-104
    • /
    • 2009
  • Critical dimensions has rapidly shrunk to increase the degree of integration and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate insulator layer and the low conductivity characteristic of poly-silicon. To cover these faults, the study of new materials is urgently needed. Recently, high dielectric materials like $Al_2O_3$, $ZrO_2$ and $HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-silicon gate is not compatible with high-k materials for gate-insulator. To integrate high-k gate dielectric materials in nano-scale devices, metal gate electrodes are expected to be used in the future. Currently, metal gate electrode materials like TiN, TaN, and WN are being widely studied for next-generation nano-scale devices. The TaN gate electrode for metal/high-k gate stack is compatible with high-k materials. According to this trend, the study about dry etching technology of the TaN film is needed. In this study, we investigated the etch mechanism of the TaN thin film in an inductively coupled plasma (ICP) system with $O_2/BCl_3/Ar$ gas chemistry. The etch rates and selectivities of TaN thin films were investigated in terms of the gas mixing ratio, the RF power, the DC-bias voltage, and the process pressure. The characteristics of the plasma were estimated using optical emission spectroscopy (OES). The surface reactions after etching were investigated using X-ray photoelectron spectroscopy (XPS) and auger electron spectroscopy (AES).

  • PDF

Etching Mechanism of $YMnO_3$ Thin Films in High Density $CF_{4}/Ar$ Plasma (고밀도 $CF_{4}/Ar$ 플라즈마에서 $YMnO_3$ 박막의 식각 매카니즘)

  • Lee, Cheol-ln;Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.05b
    • /
    • pp.12-16
    • /
    • 2001
  • We investigated the etching characteristics of $YMnO_3$ thin films in high-density plasma etching system. In this study. $YMnO_3$ thin films were etched with $CF_{4}/Ar$ gas chemistries in inductively coupled plasma (ICP). Etch rates of $YMnO_3$ were measured according to gas mixing ratios. The maximum etch rate of $YMnO_3$ is 18 nm/min at $CF_{4}/(CF_{4}+Ar)$ of 20%. In optical emission spectroscopy (OES) analysis, F radical and Ar* ions in plasma at various gas chemistries decreased with increasing $CF_4$ content. Chemical states of $YMnO_3$ films exposed in plasma were investigated with x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS). There is a chemical reaction between metal (Y, Mn) and F and metal-fluorides were removed effectively by Ar ion sputtering. $YF_x$, $MnF_x$ such as YF, $YF_2$, $YF_3$ and $MnF_3$ Were detected using SIMS analysis. The etch slope is about $65^{\circ}C$ and free of residues.

  • PDF