• Title/Summary/Keyword: Etching resist

Search Result 87, Processing Time 0.031 seconds

Effects of $CH_{2}F_{2}$ and $H_2$ flow rates on process window for infinite etch selectivity of silicon nitride to PVD a-C in dual-frequency capacitively coupled plasmas

  • Kim, Jin-Seong;Gwon, Bong-Su;Park, Yeong-Rok;An, Jeong-Ho;Mun, Hak-Gi;Jeong, Chang-Ryong;Heo, Uk;Park, Ji-Su;Lee, Nae-Eung
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.250-251
    • /
    • 2009
  • For the fabrication of a multilevel resist (MLR) based on a very thin amorphous carbon (a-C) layer an $Si_{3}N_{4}$ hard-mask layer, the selective etching of the $Si_{3}N_{4}$ layer using physical-vapor-deposited (PVD) a-C mask was investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in $CH_{2}F_{2}/H_{2}/Ar$ plasmas : HF/LF powr ratio ($P_{HF}/P_{LF}$), and $CH_{2}F_{2}$ and $H_2$ flow rates. It was found that infinitely high etch selectivities of the $Si_{3}N_{4}$ layers to the PVD a-C on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The $H_2$ and $CH_{2}F_{2}$ flow ratio was found to play a critical role in determining the process window for infinite $Si_{3}N_{4}$/PVDa-C etch selectivity, due to the change in the degree of polymerization. Etching of ArF PR/BARC/$SiO_x$/PVDa-C/$Si_{3}N_{4}$ MLR structure supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the $Si_{3}N_{4}$ layer.

  • PDF

Front-side Texturing of Crystalline Silicon Solar Cell by Micro-contact Printing (마이크로 컨텍 프린팅 기법을 이용한 결정질 실리콘 태양전지의 전면 텍스쳐링)

  • Hong, Jihwa;Han, Yoon-Soo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.26 no.11
    • /
    • pp.841-845
    • /
    • 2013
  • We give a textured front on silicon wafer for high-efficiency solar cells by using micro contact printing method which uses PDMS (polydimethylsiloxane) silicon rubber as a stamp and SAM (self assembled monolayer)s as an ink. A random pyramidal texturing have been widely used for a front-surface texturing in low cost manufacturing line although the cell with random pyramids on front surface shows relatively low efficiency than the cell with inverted pyramids patterned by normal optical lithography. In the past two decades, the micro contact printing has been intensively studied in nano technology field for high resolution patterns on silicon wafer. However, this promising printing technique has surprisingly never applied so far to silicon based solar cell industry despite their simplicity of process and attractive aspects in terms of cost competitiveness. We employ a MHA (16-mercaptohexadecanoic acid) as an ink for Au deposited $SiO_2/Si$ substrate. The $SiO_2$ pattern which is same as the pattern printed by SAM ink on Au surface and later acts as a hard resist for anisotropic silicon etching was made by HF solution, and then inverted pyramidal pattern is formed after anisotropic wet etching. We compare three textured surface with different morphology (random texture, random pyramids and inverted pyramids) and then different geometry of inverted pyramid arrays in terms of reflectivity.

Characteristics of Polarization and Birefringence for Submicron a-Ge Thin Film on Quartz Substrate Formed by Focused-Ion-Beam (석영 기판 위에 집속 이온빔 기술에 의해 형성된 비정질 게르마늄 박막 미세 패턴의 편광 및 복굴절 특성)

  • Shin, Kyung;Ki, Jin-Woo;Park, Chung-Il;Lee, Hyun-Yong;Chung, Hong-Bay
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.05a
    • /
    • pp.617-620
    • /
    • 1999
  • In this study, the polarization e(fecal and the birefringence effect of amorphous germanium (a-Ge) thin films were investigated by using linearly polarized He-Ne laser beam. The a-7e thin films were deposited on the quarts substrate by plasma enhanced chemical vapor deposition (PECVD) and thermal vacuum evaporation In order to obtain the optimum grating arrays, inorganci resists such as Si$_3$N$_4$ and a-Se$_{75}$ Ge$_{25}$ , were prepared with the optimized thickness by Monte Carlo (MC) simulation. As the results of MC simulation, the thickness ofa-Se$_{75}$ Ge$_{25}$ resist was determined with Z$_{min}$ of 360$\AA$ . The resists were exposed to Ga$^{+}$-FIB with accelerating energies of 50 keV, developed by wet etching, and a-Ge thin film was etched by reactive ion-etching (RIE). Finally, we were obtained grating arrays which grating width and linewidth are 0.8${\mu}{\textrm}{m}$, respectively and we studied the polarization and birefringence effect in transmission grating array made of high refractive amorphous material, and the applicability as waveplates and polarizers in optical device.e.e.

  • PDF

축전 결합형 $O_2$ 플라즈마를 이용한 아크릴과 폴리카보네이트의 식각 공정 비교

  • Park, Ju-Hong;Lee, Seong-Hyeon;No, Ho-Seop;Choe, Gyeong-Hun;Jo, Gwan-Sik;Lee, Je-Won
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.05a
    • /
    • pp.39.1-39.1
    • /
    • 2009
  • 본 실험은 연성과 광 투명도가 뛰어난 아크릴 (PMMA) 과 폴리카보네이트 (Polycabonate) 기판의 축전 결합형 플라즈마 (CCP) 건식 식각 연구에 관한 것이다. 특히 식각 반응기 내부의 압력 변화에 따른 두 기판의 건식 식각 특성 분석에 초점을 맞추었다. 실험에 사용된 기판은 두께 1mm의 아크릴 (PMMA) 과 폴리카보네이트 (Polycabonate)를 $1.5\times1.5\;cm^2$로 절단하여 Photo-lithography 공정을 통하여 감광제 (Photo-resist)로 패턴하였다. 식각 반응기 내부에 패턴 된 아크릴(PMMA) 과 폴리카보네이트 (Polycabonate)를 넣은 후 반응기 내부 진공 상태로 만들었다. 그 후 5 sccm $O_2$ 가스를 유량조절기 (Mass flow controller)를 통하여 식각 반응기 내부로 유입하여 실험을 하였다. 이때 식각 공정 변수는 식각 반응기 내부 압력과 샘플 척 파워이다. 특성평가 항목은 식각 후 기판 (Substrate)의 식각율 (Etch rate), 식각 선택비 (Selectivity) 그리고 기판 표면 거칠기 (RMS roughness)이다. 실험 결과는 표면 단차 분석기(Surface profiler)를 이용하여 기판 (Substrate)의 표면을 분석 하였다. 또한 OES (Optical Emission Spectroscopy) 를 이용하여 식각 중 내부 플라즈마의 상태를 분석하였다. 본 실험 결과에 따르면 5 sccm $O_2$ 가스와 100 W 척 파워를 고정한 후 반응기 내부의 압력을 25 mTorr에서 180 mTorr까지 변화시켜 실험한 결과 40 mTorr의 반응기 내부 압력에서 실험 자료 중 가장 높은 식각율로 아크릴 (PMMA)은 $0.46\;{\mu}m/min$, 폴리카보네이트 (Polycabonate)는 $0.28\;{\mu}m/min$의 결과를 얻었다. 또한 이 자료를 바탕으로 5 sccm $O_2$ 가스와 반응기 내부 압력을 40 mTorr로 고정시키고 RIE 척 파워를 25 W에서 150 W로 증가시켰을 때 아크릴 (PMMA)의 식각율은 $0.15\;{\mu}m/min$에서 $0.72\;{\mu}m/min$까지 증가하였고, 폴리카보네이트 (Polycabonate) 의 식각율은 $0.1\;{\mu}m/min$에서 $0.36\;{\mu}m/min$까지 증가하였다.

  • PDF

Fabrication Technology of the Focusing Grating Coupler using Single-step Electron Beam Lithography

  • Kim, Tae-Youb;Kim, Yark-Yeon;Han, Gee-Pyeong;Paek, Mun-Cheol;Kim, Hae-Sung;Lim, Byeong-Ok;Kim, Sung-Chan;Shin, Dong-Hoon;Rhee, Jin-Koo
    • Transactions on Electrical and Electronic Materials
    • /
    • v.3 no.1
    • /
    • pp.30-37
    • /
    • 2002
  • A focusing grating coupler (FGC) was not fabricated by the 'Continuous Path Control'writing strategy but by an electron-beam lithography system of more general exposure mode, which matches not only the address grid with the grating period but also an integer multiple of the address grid resolution (5 nm). To more simplify the fabrication, we are able to reduce a process step without large decrease of pattern quality by excluding a conducting material or layer such as metal (Al, Cr, Au), which are deposited on top or bottom of an e-beam resist to prevent charge build-up during e-beam exposure. A grating pitch period and an aperture feature size of the FGC designed and fabricated by e-beam lithography and reactive ion etching were ranged over 384.3 nm to 448.2 nm, and 0.5 $\times$ 0.5 mm$^2$area, respectively. This fabrication method presented will reduce processing time and improve the grating quality by means of a consideration of the address grid resolution, grating direction, pitch size and shapes when exposing. Here our investigations concentrate on the design and efficient fabrication results of the FGC for coupling from slab waveguide to a spot in free space.

Fabrication and Characterization of an Antistiction Layer by PECVD (plasma enhanced chemical vapor deposition) for Metal Stamps (PECVD를 이용한 금속 스탬프용 점착방지막 형성과 특성 평가)

  • Cha, Nam-Goo;Park, Chang-Hwa;Cho, Min-Soo;Kim, Kyu-Chae;Park, Jin-Goo;Jeong, Jun-Ho;Lee, Eung-Sug
    • Korean Journal of Materials Research
    • /
    • v.16 no.4
    • /
    • pp.225-230
    • /
    • 2006
  • Nanoimprint lithography (NIL) is a novel method of fabricating nanometer scale patterns. It is a simple process with low cost, high throughput and resolution. NIL creates patterns by mechanical deformation of an imprint resist and physical contact process. The imprint resist is typically a monomer or polymer formulation that is cured by heat or UV light during the imprinting process. Stiction between the resist and the stamp is resulted from this physical contact process. Stiction issue is more important in the stamps including narrow pattern size and wide area. Therefore, the antistiction layer coating is very effective to prevent this problem and ensure successful NIL. In this paper, an antistiction layer was deposited and characterized by PECVD (plasma enhanced chemical vapor deposition) method for metal stamps. Deposition rates of an antistiction layer on Si and Ni substrates were in proportion to deposited time and 3.4 nm/min and 2.5 nm/min, respectively. A 50 nm thick antistiction layer showed 90% relative transmittance at 365 nm wavelength. Contact angle result showed good hydrophobicity over 105 degree. $CF_2$ and $CF_3$ peaks were founded in ATR-FTIR analysis. The thicknesses and the contact angle of a 50 nm thick antistiction film were slightly changed during chemical resistance test using acetone and sulfuric acid. To evaluate the deposited antistiction layer, a 50 nm thick film was coated on a stainless steel stamp made by wet etching process. A PMMA substrate was successfully imprinting without pattern degradations by the stainless steel stamp with an antistiction layer. The test result shows that antistiction layer coating is very effective for NIL.

Oil Retention Experiments and Evaluations for Electrochemically Etched Porous Stainless Steel Surface (전기화학적으로 에칭된 다공성 스테인리스 스틸 표면의 오일 보존 실험 및 성능 평가)

  • Lee, Chan;Kim, Aeree;Kim, Joonwon
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.31 no.12
    • /
    • pp.1171-1176
    • /
    • 2014
  • Oil retention experiments were conducted and the performance was characterized for electrochemically etched stainless steels. The 304 stainless steels were electrochemically etched in dilute Aqua Regia to form porous structures. The structures were also hierarchical, which provides very large area for oils to adhere. Also the structures had deep valleys, which act as reservoir and are able to resist against oil-detaching forces. Several commercial oils were dispensed to characterize oil retention properties via rotating disk experiment method. The results showed that the etched surfaces have superior oil retention performance in every conditions. Also the retention enhancement ratio went particularly higher as the environments became more severe. This surface modification technique could be applied to other steel products for pretreatments of various kinds of coatings.

Improved Margin of Absorber Pattern Sidewall Angle Using Phase Shifting Extreme Ultraviolet Mask (위상변위 극자외선 마스크의 흡수체 패턴의 기울기에 대한 오차허용도 향상)

  • Jang, Yong Ju;Kim, Jung Sik;Hong, Seongchul;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.2
    • /
    • pp.32-37
    • /
    • 2016
  • Sidewall angle (SWA) of an absorber stack in extreme ultraviolet lithography mask is considered to be $90^{\circ}$ ideally, however, it is difficult to obtain $90^{\circ}$ SWA because absorber profile is changed by complicated etching process. As the imaging performance of the mask can be varied with this SWA of the absorber stack, more complicated optical proximity correction is required to compensate for the variation of imaging performance. In this study, phase shift mask (PSM) is suggested to reduce the variation of imaging performance due to SWA change by modifying mask material and structure. Variations of imaging performance and lithography process margin depending on SWA were evaluated through aerial image and developed resist simulations to confirm the advantages of PSM over the binary intensity mask (BIM). The results show that the variations of normalized image log slope and critical dimension bias depending on SWA are reduced with PSM compared to BIM. Process margin for exposure dose and focus was also improved with PSM.

Fabrication of sub-micron sized organic field effect transistors

  • Park, Seong-Chan;Heo, Jeong-Hwan;Kim, Gyu-Tae;Ha, Jeong-Suk
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.84-84
    • /
    • 2010
  • In this study, we report on the novel lithographic patterning method to fabricate organic-semiconductor devices based on photo and e-beam lithography with well-known silicon technology. The method is applied to fabricate pentacene-based organic field effect transistors. Owing to their solubility, sub-micron sized patterning of P3HT and PEDOT has been well established via micromolding in capillaries (MIMIC) and inkjet printing techniques. Since the thermally deposited pentacene cannot be dissolved in solvents, other approach was done to fabricate pentacene FETs with a very short channel length (~30nm), or in-plane orientation of pentacene molecules by using nanometer-scale periodic groove patterns as an alignment layer for high-performance pentacene devices. Here, we introduce the atomic layer deposition of $Al_2O_3$ film on pentacene as a passivation layer. $Al_2O_3$ passivation layer on OTFTs has some advantages in preventing the penetration of water and oxygen and obtaining the long-term stability of electrical properties. AZ5214 and ma N-2402 were used as a photo and e-beam resist, respectively. A few micrometer sized lithography patterns were transferred by wet and dry etching processes. Finally, we fabricated sub-micron sized pentacene FETs and measured their electrical characteristics.

  • PDF

Striation of coated conductors by photolithography process

  • Byeong-Joo Kim;Miyeon Yoon;Myeonghee Lee;Sang Ho Park;Ji-Kwang Lee;Kyeongdal Choi;Woo-Seok Kim
    • Progress in Superconductivity and Cryogenics
    • /
    • v.25 no.4
    • /
    • pp.50-53
    • /
    • 2023
  • In this study, the photolithography process was chosen to reduce the aspect ratio of the cross-section of a high-temperature superconducting (HTS) tape by dividing the superconducting layer of the tape. Reducing the aspect ratio decreases the magnetization losses in the second-generation HTS tapes generated by AC magnetic fields. The HTS tape used in the experiment has a thin silver (Ag) layer of about 2 ㎛ on top of the REBCO superconducting layer and no additional stabilizer layer. A dry film resist (DFR) was laminated on top of the HTS tape by a lamination method for the segmentation. Exposure to a 395 nm UV lamp on a patterned mask cures the DFR. Dipping with a 1% Na2CO3 solution was followed to develop the uncured film side and to obtain the required pattern. The silver and superconducting layers of the REBCO films were cleaned with an acid solution after the etching. Finally, the segmented HTS tape was completed by stripping the DFR film with acetone.