• Title/Summary/Keyword: Etch

Search Result 1,365, Processing Time 0.029 seconds

Stability of Co/Ni Silicide in Metal Contact Dry Etch (Co/Ni 복합실리사이드의 메탈 콘택 건식식각 안정성 연구)

  • Song Ohsung;Beom Sungjin;Kim Dugjoong
    • Korean Journal of Materials Research
    • /
    • v.14 no.8
    • /
    • pp.573-578
    • /
    • 2004
  • Newly developed silicide materials for ULSI should have the appropriate electrical property of low resistant as well as process compatibility in conventional CMOS process. We prepared $NiCoSi_x$ silicides from 15 nm-Co/15 nm-Ni/Si structure and performed contact dry etch process to confirm the dry etch stability and compatibility of $NiCoSi_x$ layers. We dry etched the photoresist/SiO/silicide/silicon patterns with $CF_4\;and\;CHF_3$ gases with varying powers from 100 to 200 W, and pressures from 45 to 65 mTorr, respectively. Polysilicon and silicon active layers without silicide were etched $0\sim316{\AA}$ during over etch time of 3min, while silicon layers with proposed $NiCoSi_x$ silicide were not etched and showed stable surfaces. Our result implies that new $NiCoSi_x$ silicides may replace the conventional silicides due to contact etch process compatibility.

Silicon Nanostructures Fabricated by Metal-Assisted Chemical Etching of Silicon (MAC Etch를 이용한 Si 나노 구조 제조)

  • Oh, Ilwhan
    • Journal of the Korean Electrochemical Society
    • /
    • v.16 no.1
    • /
    • pp.1-8
    • /
    • 2013
  • This review article summarizes metal-assisted chemical etching (MAC etch or MACE), an anisotropic etching method for Si, and describes principles, main factors, and recent achievements in literature. In 1990, it was discovered that, with metal catalyst on surface and $H_2O_2$/HF as etchant, Si substrate can be etched anisotropically, in even in solution. In contrast to high-cost vacuum-based dry etching methods, MAC etch enables to fabricate a variety of high aspect ratio nanostructures through wet etching process.

Modeling of Silicon Etch in KOH for MEMS Based Energy Harvester Fabrication (MEMS기반 에너지 하베스터 제작을 위한 실리콘 KOH 식각 모형화)

  • Min, Chul-Hong;Gang, Gyeong-Woo;Kim, Tae-Seon
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.3
    • /
    • pp.176-181
    • /
    • 2012
  • Due to the high etch rate and low fabrication cost, the wet etching of silicon using KOH etchant is widely used in MEMS fabrication area. However, anisotropic etch characteristic obstruct intuitional mask design and compensation structures are required for mask design level. Therefore, the accurate modeling for various types of silicon surface is essential for fabrication of three-dimensional MEMS structure. In this paper, we modeled KOH etch profile for MEMS based energy harvester using fuzzy logic. Modeling results are compared with experimental results and it is applied to design of compensation structure for MEMS based energy harvester. Through Fuzzy inference approaches, developed model showed good agreement with the experimental results with limited etch rate information.

Etch characteristics of ITO(Indium Tin Oxide ) using inductively coupled Ar/$CH_4$ plasmas (유도결합형 Ar/$CH_4$ 플라즈마를 이용한 ITO의 식각특성에 관한 연구)

  • 박준용;김현수;권광호;김곤호;염근영
    • Journal of the Korean Vacuum Society
    • /
    • v.8 no.4B
    • /
    • pp.565-571
    • /
    • 1999
  • In this study, high-density plasma etching characteristics of ITO(indium tin oxide) films used for transparent electrode in dispaly devices were investigated. Plasma diagnostic and surface analysis tools were used to understand etch reaction mechanism. The etch rate of ITO was increased by the increase of reactive radicals such as H and $CH_3$ with the addition of moderate amount of $CH_4$ to Ar. However, the addition of excess amount of $CH_4$ decreased possibly due to the increased polymer formation on the ITO surface being etched. The increase of source power and bias boltage increased ITO etch rates but it decreased selectivities over under-layers $(SiO_2, Si_3N_4)$. The increase of working pressure up to 20mTorr also increased ITO etch rates, however the further increased of the pressure decreased ITO etch rates. From the analysis of XPS, a peak related to the polymer of hydrocarbon was observed on the etched ITO surface especially for high $CH_4$ conditions and it appears to affect ITO etch rates.

  • PDF

Selective etch of silicon nitride, and silicon dioxide upon $O_2$ dilution of $CF_4$ plasmas ($CF_4$$O_2$혼합가스를 이용한 산화막과 질화막의 선택적 식각에 관한 연구)

  • 김주민;원태영
    • Electrical & Electronic Materials
    • /
    • v.8 no.1
    • /
    • pp.90-94
    • /
    • 1995
  • Reactive Ion Etching(RIE) of Si$_{3}$N$_{4}$ in a CF$_{4}$/O$_{2}$ gas plasma exhibits such good anisotropic etching properties that it is widely employed in current VLSI technology. However, the RIE process can cause serious damage to the silicon surface under the Si$_{3}$N$_{4}$ layer. When an atmospheric pressure chemical vapor deposited(APCVD) SiO$_{2}$ layer is used as a etch-stop material for Si$_{3}$N$_{4}$, it seems inevitable to get a good etch selectivity of Si$_{3}$N$_{4}$ with respect to SiO$_{2}$. Therefore, we have undertaken thorough study of the dependence of the etch rate of Si$_{3}$N$_{4}$ plasmas on $O_{2}$ dilution, RF power, and chamber pressure. The etch selectivity of Si$_{3}$N$_{4}$ with respect to SiO$_{2}$ has been obtained its value of 2.13 at the RF power of 150 W and the pressure of 110 mTorr in CF$_{4}$ gas plasma diluted with 25% $O_{2}$ by flow rate.

  • PDF

Electrochemical Etch-Stop Suitable for MEMS Applications

  • Chung, Gwiy-Sang;Kim, Sun-Chunl;Kim, Tae-Song
    • Transactions on Electrical and Electronic Materials
    • /
    • v.2 no.2
    • /
    • pp.26-31
    • /
    • 2001
  • This paper presents the electrochemical etch-stop characteristics of single-crystal Si(001) wafers in tetramethyl ammonium hydroxide(TMAH):isopropyl alcohol(IPA):pyrazine solutions. The addition of pyrazine to TMAH:IPA solutions increased the etch rate of (100) Si, thus the etching time required by the etch-stop process shortened. The current-voltage(I-V) characteristics of n- and p-type Si in TMAH:IPA:pyrazine solutions were obtained, respectively. Open circuit potential(OCP) and passivation potential(PP) of n- and p-type Si, respectively, were obtained and applied potential was selected between n- and p-type Si PPs. The electrochemical etch-stop method was used to fabricate 801 microdiaphragms of 20 ${\mu}{\textrm}{m}$ thickness on a 5-inch Si wafer. The average thickness of fabricated 801 microdiaphragms on one Si wafer was 20.03 ${\mu}{\textrm}{m}$ and the standard deviation was $\pm$0.26 ${\mu}{\textrm}{m}$. The Si surface of the etch-stopped microdiaphragm was extremely flat with no noticeable taper or nonuniformity.

  • PDF

CHARACTERISITCS OF CHLORINE IND DUCTIVELY COUPLED PLASMAS AND THEIR SILICON ETCH PROPERTIES

  • Lee, Young-Jun;Kim, Hyeon-Soo;Yeom, Geun-Young;Oho, Kyung-Hee
    • Journal of the Korean institute of surface engineering
    • /
    • v.29 no.6
    • /
    • pp.816-823
    • /
    • 1996
  • Chlorine containing high density plasmas are widely used to etch various materials in the microelectronic device fabrication. In this study, the characteristics of inductively coupled $Cl_2(O_2/N_2$) plasmas and their effects on the formation of silicon etching have been investigated using a Langmuir probe, quadrupole mass spectrometry(QMS), X-ray photoelectron spectroscopy(XPS), and Scanning Electron Microscopy(SEM). The addition of oxygen for chlorine plasmas reduced ion current densities and chlorine radical densities compared to the nitrogen addition by the recombination of oxygen with chlorine. Also, when silicon is etched in $Cl_2/O_2$ plasmas, etch products recombined with oxygen such as $SiCl_xO_y$ emerged. However, when nitrogen is added to chlorine, etch products recombined with nitrogen or Si-N bondings on the etched silicon surface were not found. All the silicon etch characteristics were dependent on the plasma conditions such as ion density, radical density, etc. As a result sub micron vertical silicon trench etch profiles could be effectively formed using optimized etch conditions for $Cl_2/O_2\; and \;Cl_2/N_2$ gas combinations.

  • PDF

Frequency effect of TEOS oxide layer in dual-frequency capacitively coupled CH2F2/C4F8/O2/Ar plasma

  • Lee, J.H.;Kwon, B.S.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.284-284
    • /
    • 2011
  • Recently, the increasing degree of device integration in the fabrication of Si semiconductor devices, etching processes of nano-scale materials and high aspect-ratio (HAR) structures become more important. Due to this reason, etch selectivity control during etching of HAR contact holes and trenches is very important. In this study, The etch selectivity and etch rate of TEOS oxide layer using ACL (amorphous carbon layer) mask are investigated various process parameters in CH2F2/C4F8/O2/Ar plasma during etching TEOS oxide layer using ArF/BARC/SiOx/ACL multilevel resist (MLR) structures. The deformation and etch characteristics of TEOS oxide layer using ACL hard mask was investigated in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher by different fHF/ fLF combinations by varying the CH2F2/ C4F8 gas flow ratio plasmas. The etch characteristics were measured by on scanning electron microscopy (SEM) And X-ray photoelectron spectroscopy (XPS) analyses and Fourier transform infrared spectroscopy (FT-IR). A process window for very high selective etching of TEOS oxide using ACL mask could be determined by controlling the process parameters and in turn degree of polymerization. Mechanisms for high etch selectivity will discussed in detail.

  • PDF

Etching Characteristics of HfAlO3 Thin Films Using an Cl2/BCl3/Ar Inductively Coupled Plasma

  • Ha, Tae-Kyung;Woo, Jong-Chang;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.11 no.4
    • /
    • pp.166-169
    • /
    • 2010
  • In this study, we changed the etch parameters (gas mixing ratio, radio frequency [RF] power, direct current [DC]-bias voltage, and process pressure) and then monitored the effect on the $HfAlO_3$ thin film etch rate and the selectivity with $SiO_2$. A maximum etch rate of 108.7 nm/min was obtained in $Cl_2$ (3 sccm)/$BCl_3$ (4 sccm)/Ar (16 sccm) plasma. The etch selectivity of $HfAlO_3$ to $SiO_2$ reached 1.11. As the RF power and the DC-bias voltage increased, the etch rate of the $HfAlO_3$ thin film increased. As the process pressure increased, the etch rate of the $HfAlO_3$ thin films increased. The chemical state of the etched surfaces was investigated with X-ray photoelectron spectroscopy. According to the results, the etching of $HfAlO_3$ thin film follows the ion-assisted chemical etching.

Improvement of Etch Rate and Profile by SF6, C4F8, O2 Gas Modulation (SF6, C4F8, O2 가스 변화에 따른 실리콘 식각율과 식각 형태 개선)

  • Kwon, Soon-Il;Yang, Kea-Joon;Song, Woo-Chang;Lim, Dong-Gun
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.4
    • /
    • pp.305-310
    • /
    • 2008
  • Deep trench etching of silicon was investigated as a function of RF source power, DC bias voltage, $C_4F_8$ gas flow rate, and $O_2$ gas addition. On increasing the RF source power from 300 W to 700 W, the etch rate was increased from $3.52{\mu}m/min$ to $7.07{\mu}m/min$. The addition of $O_2$ gas improved the etch rate and the selectivity. The highest etch rate is achieved at the $O_2$ gas addition of 12 %, The selectivity to PR was 65.75 with $O_2$ gas addition of 24 %. At DC bias voltage of -40 V and $C_4F_8$ gas flow rate of 30 seem, We were able to achieve etch rate as high as $5.25{\mu}m/min$ with good etch profile.