• 제목/요약/키워드: End-point detection

검색결과 161건 처리시간 0.023초

영상처리 기반의 제스처를 이용한 가상현실 입력기 (Image Processing Based Virtual Reality Input Method using Gesture)

  • 홍동균;천미현;이동화
    • 한국산업정보학회논문지
    • /
    • 제24권5호
    • /
    • pp.129-137
    • /
    • 2019
  • 정보 기술의 발전에 따라 유비쿼터스 컴퓨팅 기술이 대두되고 있다. 이에 맞춰 디바이스의 소형화와 사용자의 편리성을 증대시키기 위한 여러 연구가 진행되고 있다. 그중 몇몇의 제안된 디바이스들은 사용자가 착용해야 한다는 점과 손에 들고 동작시켜야 한다는 불편함이 있다. 본 논문에서는 이러한 불편함을 해결하기 위해 텔레비전 시청 시 사용할 수 있는 가상 버튼을 제안하였다. 텔레비전을 통해 영상 시청 시 전방에서 사용자가 영상을 시청한다는 점을 이용해 카메라를 TV 상단에 설치하여 카메라가 머리 위쪽을 촬영하게 한다. 촬영된 영상에서 배경과 손 영역을 분리하여 검출하고, 검출된 손 영역에 외곽선을 검출한 후 손가락의 끝점을 검출한다. 손가락의 끝점을 검출하면 전방을 촬영하고 있는 영상 상단에 가상 버튼 인터페이스가 출력되며 검출된 손가락의 끝점이 포인터가 되어 위치정보를 갖고 버튼 안쪽에 위치할 경우 버튼이 동작한다.

A Study On the Beat-To-Beat QT Interval Measurement

  • Jung, T.S.;Lee, J.M.;Park, K.S.
    • 대한의용생체공학회:학술대회논문집
    • /
    • 대한의용생체공학회 1998년도 추계학술대회
    • /
    • pp.203-204
    • /
    • 1998
  • ECG analysis is main techniques for diagnosing heart disease. In recent, some studies have been performed about detection of QT interval. But, it's difficult to detect QT interval because T wave is evasive. In this paper, we have detected peak point and end point of T wave and calculated QT interval. And the result has been compared with the other algorithm after detection of QT interval.

  • PDF

Kinect 센서를 이용한 효율적인 사람 추종 로봇의 예측 제어 (Predictive Control of an Efficient Human Following Robot Using Kinect Sensor)

  • 허신녕;이장명
    • 제어로봇시스템학회논문지
    • /
    • 제20권9호
    • /
    • pp.957-963
    • /
    • 2014
  • This paper proposes a predictive control for an efficient human following robot using Kinect sensor. Especially, this research is focused on detecting of foot-end-point and foot-vector instead of human body which can be occluded easily by the obstacles. Recognition of the foot-end-point by the Kinect sensor is reliable since the two feet images can be utilized, which increases the detection possibility of the human motion. Depth image features and a decision tree have been utilized to estimate the foot end-point precisely. A tracking point average algorithm is also adopted in this research to estimate the location of foot accurately. Using the continuous locations of foot, the human motion trajectory is estimated to guide the mobile robot along a smooth path to the human. It is verified through the experiments that detecting foot-end-point is more reliable and efficient than detecting the human body. Finally, the tracking performance of the mobile robot is demonstrated with a human motion along an 'L' shape course.

EPD 신호궤적을 이용한 개별 웨이퍼간 이상검출에 관한 연구 (A Study on Wafer to Wafer Malfunction Detection using End Point Detection(EPD) Signal)

  • 이석주;차상엽;최순혁;고택범;우광방
    • 제어로봇시스템학회논문지
    • /
    • 제4권4호
    • /
    • pp.506-516
    • /
    • 1998
  • In this paper, an algorithm is proposed to detect the malfunction of plasma-etching characteristics using EPD signal trajectories. EPD signal trajectories offer many information on plasma-etching process state, so they must be considered as the most important data sets to predict the wafer states in plasma-etching process. A recent work has shown that EPD signal trajectories were successfully incorporated into process modeling through critical parameter extraction, but this method consumes much effort and time. So Principal component analysis(PCA) can be applied. PCA is the linear transformation algorithm which converts correlated high-dimensional data sets to uncorrelated low-dimensional data sets. Based on this reason neural network model can improve its performance and convergence speed when it uses the features which are extracted from raw EPD signals by PCA. Wafer-state variables, Critical Dimension(CD) and uniformity can be estimated by simulation using neural network model into which EPD signals are incorporated. After CD and uniformity values are predicted, proposed algorithm determines whether malfunction values are produced or not. If malfunction values arise, the etching process is stopped immediately. As a result, through simulation, we can keep the abnormal state of etching process from propagating into the next run. All the procedures of this algorithm can be performed on-line, i.e. wafer to wafer.

  • PDF

LAL 시험용 Lab-chip 개발을 위한 타당성 연구 (Feasibility Study for a Lab-chip Development for LAL Test)

  • 황상연;최효진;서창우;안유민;김양선;이은규
    • KSBB Journal
    • /
    • 제18권5호
    • /
    • pp.429-433
    • /
    • 2003
  • LAL 측정용 chip을 제작하기 위해서 우선 시료의 부피 감소에 대한 비탁법과 비색법을 비교하였다. 비색법은 낮은 부피에서 높은 감도를 보여 주었으며 시료의 부피와 무관하게 같은 endotoxin의 농도에서는 같은 흡광도를 보인다는 결론을 얻었다. Endotoxin의 농도에 따른 표준곡선을 end point법과 kinetic point법을 비교한 결과 대한약전의 기준에 적합한 kinetic point법이 적합하였다. 이러한 기초 실험결과를 통해 PDMS LOC를 제작하여 LAL 시험을 수행하였다. LOC를 이용하여 더 짧은 시간과 더 작은 시료로 시험이 가능하도록 하였다. 특히 PDMS LOC는 복잡한 channel을 쉽게 만들 수 있을 뿐 아니라 mold를 이용하여 상용화를 위한 대량 생산이 가능하다. 따라서 PDMS를 이용한 LOC의 제작과 실험을 통해 기존의 수작업의 LAL 시험을 LOC를 이용한 다중시료 측정과 자동화의 가능성을 제시하였다.

조기심실수축 분류를 위한 위상 변이 추적 기반의 QRS 특징점 검출 (Detection of QRS Feature Based on Phase Transition Tracking for Premature Ventricular Contraction Classification)

  • 조익성;윤정오;권혁숭
    • 한국정보통신학회논문지
    • /
    • 제20권2호
    • /
    • pp.427-436
    • /
    • 2016
  • 일반적으로 QRS간격은 시작점을 기준으로 끝점까지의 간격을 말하지만 그 기준이 모호하고 Q와 S의 검출이 정확하지 않아 부정맥 분류 성능을 저하시키는 경우가 발생한다. 본 연구에서는 심전도신호 중 가장 큰 피크인 R파를 정확히 검출한 후 이를 기준으로 위상 변이 추적 기법을 적용하여 Q와 S의 시작점과 끝점을 추출하는 방법을 제안한다. 먼저 전처리 과정을 통해 잡음이 제거된 정확한 R파를 검출한다. 이후 심전도신호의 미분값을 통해 QRS패턴을 분류하고, R파를 기준으로 위상이 변화되는 방향과 횟수를 추적함으로써 Q, S의 시작점과 끝점을 추출하는 방법이다. 제안한 방법의 우수성을 입증하기 위해 MIT-BIH 부정맥 데이터베이스 48개의 레코드를 대상으로 R파 검출율은 99.60%의 성능을 나타내었고, 위상 변이 추적 기법의 경우 조기심실수축(PVC)이 30개 이상 포함된 MIT-BIH 10개의 레코드를 대상으로 조기심실수축 분류율을 각각 비교 분석한 결과 94.12%로 우수하게 나타났다.

EVRC 패킷에서 LSP 거리를 이용한 음성 끝점 검출 (An End Point Detection Technique Using the LSP Distance in EVRC Packets)

  • 민병준;강명수
    • 한국음향학회지
    • /
    • 제18권6호
    • /
    • pp.44-48
    • /
    • 1999
  • 음성 인식 기능의 성능 향상을 위해서는 처리 속도가 빠르면서도 잡음 환경에서 정확하게 동작하는 음성 끝점 검출이 선행되어야 한다. 본 논문에서는 저잡음 환경에서의 음성 끝점 검출을 위한 간단하면서도 빠른 알고리즘을 제안한다. 제안된 알고리즘은 LSP 거리를 측정 기준으로 문턱값 논리를 사용하며 입력 음성으로는 EVRC로 보코딩된 패킷을 이용한다. 제안된 알고리즘을 이용한 실험 결과는 디코딩된 음성 파형으로부터 결정한 결과와 비교되었다. 실험 결과에서 제안된 알고리즘은 만족할만한 정확성을 나타내었다.

  • PDF

STI CMP용 가공종점 검출기술에서 나노 세리아 슬러리 특성이 미치는 영향 (Effect of the Nano Ceria Slurry Characteristics on end Point Detection Technology for STI CMP)

  • 김성준;강현구;김민석;백운규;박재근
    • 반도체디스플레이기술학회지
    • /
    • 제3권1호
    • /
    • pp.15-20
    • /
    • 2004
  • Through shallow trench isolation (STI) chemical mechanical polishing (CMP) tests, we investigated the dependence of pad surface temperature on the abrasive and additive concentrations in ceria slurry under varying pressure using blanket film wafers. The pad surface temperature after CMP increased with the abrasive concentration and decreased with the additive concentration in slurries for the constant down pressure. A possible mechanism is that the additive adsorbed on the film surfaces during polishing decreases the friction coefficient, hence the pad surface temperature gets lower with increasing the additive concentration. This difference in temperature was more remarkable for the higher concentration of abrasives. In addition, in-situ measurement of spindle motor was carried out during oxide and nitride polishing. The averaged motor current for oxide film was higher than that for nitride film, meaning the higher friction coefficient.

  • PDF

EPD time delay in etching of stack down WSix gate in DPS+ poly chamber

  • Ko, Yong Deuk;Chun, Hui-Gon
    • 한국반도체및디스플레이장비학회:학술대회논문집
    • /
    • 한국반도체및디스플레이장비학회 2002년도 추계학술대회 발표 논문집
    • /
    • pp.130-136
    • /
    • 2002
  • Device makers want to make higher density chips as devices shrink, especially WSix poly stack down is one of the key issues. However, EPD (End Point Detection) time delay was happened in DPS+ poly chamber which is a barrier to achieve device shrink because EPD time delay killed test pattern and next generation device. To investigate the EPD time delay, a test was done with patterned wafers. This experimental was carried out combined with OES(Optical Emission Spectroscopy) and SEM (Scanning Electron Microscopy). OES was used to find corrected wavelength in WSix stack down gate etching. SEM was used to confirm WSix gate profile and gate oxide damage. Through the experiment, a new wavelength (252nm) line of plasma is selected for DPS+ chamber to call correct EPD in WSix stack down gate etching for current device and next generation device.

  • PDF