• Title/Summary/Keyword: End-Point Detection

Search Result 161, Processing Time 0.027 seconds

Image Processing Based Virtual Reality Input Method using Gesture (영상처리 기반의 제스처를 이용한 가상현실 입력기)

  • Hong, Dong-Gyun;Cheon, Mi-Hyeon;Lee, Donghwa
    • Journal of Korea Society of Industrial Information Systems
    • /
    • v.24 no.5
    • /
    • pp.129-137
    • /
    • 2019
  • Ubiquitous computing technology is emerging as information technology advances. In line with this, a number of studies are being carried out to increase device miniaturization and user convenience. Some of the proposed devices are user-friendly and uncomfortable with hand-held operation. To address these inconveniences, this paper proposed a virtual button that could be used in watching television. When watching a video on television, a camera is installed at the top of the TV, using the fact that the user watches the video from the front, so that the camera takes a picture of the top of the head. Extract the background and hand area separately from the filmed image, extract the outline to the extracted hand area, and detect the tip point of the finger. Detection of the end point of the finger produces a virtual button interface at the top of the image being filmed in front, and the button activates when the end point of the detected finger becomes a pointer and is located inside the button.

A Study On the Beat-To-Beat QT Interval Measurement

  • Jung, T.S.;Lee, J.M.;Park, K.S.
    • Proceedings of the KOSOMBE Conference
    • /
    • v.1998 no.11
    • /
    • pp.203-204
    • /
    • 1998
  • ECG analysis is main techniques for diagnosing heart disease. In recent, some studies have been performed about detection of QT interval. But, it's difficult to detect QT interval because T wave is evasive. In this paper, we have detected peak point and end point of T wave and calculated QT interval. And the result has been compared with the other algorithm after detection of QT interval.

  • PDF

Predictive Control of an Efficient Human Following Robot Using Kinect Sensor (Kinect 센서를 이용한 효율적인 사람 추종 로봇의 예측 제어)

  • Heo, Shin-Nyeong;Lee, Jang-Myung
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.20 no.9
    • /
    • pp.957-963
    • /
    • 2014
  • This paper proposes a predictive control for an efficient human following robot using Kinect sensor. Especially, this research is focused on detecting of foot-end-point and foot-vector instead of human body which can be occluded easily by the obstacles. Recognition of the foot-end-point by the Kinect sensor is reliable since the two feet images can be utilized, which increases the detection possibility of the human motion. Depth image features and a decision tree have been utilized to estimate the foot end-point precisely. A tracking point average algorithm is also adopted in this research to estimate the location of foot accurately. Using the continuous locations of foot, the human motion trajectory is estimated to guide the mobile robot along a smooth path to the human. It is verified through the experiments that detecting foot-end-point is more reliable and efficient than detecting the human body. Finally, the tracking performance of the mobile robot is demonstrated with a human motion along an 'L' shape course.

A Study on Wafer to Wafer Malfunction Detection using End Point Detection(EPD) Signal (EPD 신호궤적을 이용한 개별 웨이퍼간 이상검출에 관한 연구)

  • 이석주;차상엽;최순혁;고택범;우광방
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.4 no.4
    • /
    • pp.506-516
    • /
    • 1998
  • In this paper, an algorithm is proposed to detect the malfunction of plasma-etching characteristics using EPD signal trajectories. EPD signal trajectories offer many information on plasma-etching process state, so they must be considered as the most important data sets to predict the wafer states in plasma-etching process. A recent work has shown that EPD signal trajectories were successfully incorporated into process modeling through critical parameter extraction, but this method consumes much effort and time. So Principal component analysis(PCA) can be applied. PCA is the linear transformation algorithm which converts correlated high-dimensional data sets to uncorrelated low-dimensional data sets. Based on this reason neural network model can improve its performance and convergence speed when it uses the features which are extracted from raw EPD signals by PCA. Wafer-state variables, Critical Dimension(CD) and uniformity can be estimated by simulation using neural network model into which EPD signals are incorporated. After CD and uniformity values are predicted, proposed algorithm determines whether malfunction values are produced or not. If malfunction values arise, the etching process is stopped immediately. As a result, through simulation, we can keep the abnormal state of etching process from propagating into the next run. All the procedures of this algorithm can be performed on-line, i.e. wafer to wafer.

  • PDF

Feasibility Study for a Lab-chip Development for LAL Test (LAL 시험용 Lab-chip 개발을 위한 타당성 연구)

  • 황상연;최효진;서창우;안유민;김양선;이은규
    • KSBB Journal
    • /
    • v.18 no.5
    • /
    • pp.429-433
    • /
    • 2003
  • LAL (Limulus amebocyte lysate) test to detect and quantity endotoxin is based on gellation reaction between endotoxin and LAL from a blood extract of Limulus polyphemus. The test is labor intensive requiring dedicated personnel, takes relatively long reaction time (approximately 1 hr), requires relatively large volume of samples and reagents, and its end-point detection method is rather subjective. To solve these problems, we attempted to develop a miniaturized LOC (lab-on-a-chip) prototype using PDMS and glass. Using the 62 mm (length) ${\times}$ 18 mm (width) prototype in which 2 mm (width) ${\times}$ 44.34 mm (length) ${\times}$ 100 $\mu\textrm{m}$ (depth) microfluidic channel was provided, we compared the various detection methods of gellation, turbidometric, and chromogenic assays to find the chromogenic method to be the most suitable for small volume assay. In this assay, kinetic point method was more accurate than end point method. We also found the PDMS chip thickness should be minimized to around 2 mm to allow sufficient light transmittance, which necessitated a glass slide bonding for chip rigidity. Through the miniaturization, the test time was reduced from 1 hr to less than 10 minutes, and the sample volume could be reduced from 100 ${\mu}\ell$ to 4.4 ${\mu}\ell$. In sum, this study revealed that the mini LOC could be an alternative for a semi-automated and reliable method for LAL test.

Detection of QRS Feature Based on Phase Transition Tracking for Premature Ventricular Contraction Classification (조기심실수축 분류를 위한 위상 변이 추적 기반의 QRS 특징점 검출)

  • Cho, Ik-sung;Yoon, Jeong-oh;Kwon, Hyeog-soong
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.20 no.2
    • /
    • pp.427-436
    • /
    • 2016
  • In general, QRS duration represent a distance of Q start and S end point. However, since criteria of QRS duration are vague and Q, S point is not detected accurately, arrhythmia classification performance can be reduced. In this paper, we propose extraction of Q, S start and end point RS feature based on phase transition tracking method after we detected R wave that is large peak of electrocardiogram(ECG) signal. For this purpose, we detected R wave, from noise-free ECG signal through the preprocessing method. Also, we classified QRS pattern through differentiation value of ECG signal and extracted Q, S start and end point by tracking direction and count of phase based on R wave. The performance of R wave detection is evaluated by using 48 record of MIT-BIH arrhythmia database. The achieved scores indicate the average detection rate of 99.60%. PVC classification is evaluated by using 9 record of MIT-BIH arrhythmia database that included over 30 premature ventricular contraction(PVC). The achieved scores indicate the average detection rate of 94.12% in PVC.

An End Point Detection Technique Using the LSP Distance in EVRC Packets (EVRC 패킷에서 LSP 거리를 이용한 음성 끝점 검출)

  • 민병준;강명수
    • The Journal of the Acoustical Society of Korea
    • /
    • v.18 no.6
    • /
    • pp.44-48
    • /
    • 1999
  • This paper presents a simple and fast method for end point detection under low-level noisy environment. The proposed algorithm uses a threshold logic with LSP distances and takes vocoded packets as input to the recognition system. The results from the proposed method are compared with those manually checked in decoded speeches. From the result it exhibits acceptable accuracy.

  • PDF

Effect of the Nano Ceria Slurry Characteristics on end Point Detection Technology for STI CMP (STI CMP용 가공종점 검출기술에서 나노 세리아 슬러리 특성이 미치는 영향)

  • 김성준;강현구;김민석;백운규;박재근
    • Journal of the Semiconductor & Display Technology
    • /
    • v.3 no.1
    • /
    • pp.15-20
    • /
    • 2004
  • Through shallow trench isolation (STI) chemical mechanical polishing (CMP) tests, we investigated the dependence of pad surface temperature on the abrasive and additive concentrations in ceria slurry under varying pressure using blanket film wafers. The pad surface temperature after CMP increased with the abrasive concentration and decreased with the additive concentration in slurries for the constant down pressure. A possible mechanism is that the additive adsorbed on the film surfaces during polishing decreases the friction coefficient, hence the pad surface temperature gets lower with increasing the additive concentration. This difference in temperature was more remarkable for the higher concentration of abrasives. In addition, in-situ measurement of spindle motor was carried out during oxide and nitride polishing. The averaged motor current for oxide film was higher than that for nitride film, meaning the higher friction coefficient.

  • PDF

EPD time delay in etching of stack down WSix gate in DPS+ poly chamber

  • Ko, Yong Deuk;Chun, Hui-Gon
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2002.11a
    • /
    • pp.130-136
    • /
    • 2002
  • Device makers want to make higher density chips as devices shrink, especially WSix poly stack down is one of the key issues. However, EPD (End Point Detection) time delay was happened in DPS+ poly chamber which is a barrier to achieve device shrink because EPD time delay killed test pattern and next generation device. To investigate the EPD time delay, a test was done with patterned wafers. This experimental was carried out combined with OES(Optical Emission Spectroscopy) and SEM (Scanning Electron Microscopy). OES was used to find corrected wavelength in WSix stack down gate etching. SEM was used to confirm WSix gate profile and gate oxide damage. Through the experiment, a new wavelength (252nm) line of plasma is selected for DPS+ chamber to call correct EPD in WSix stack down gate etching for current device and next generation device.

  • PDF