• Title/Summary/Keyword: Deep X-ray Lithography

Search Result 15, Processing Time 0.021 seconds

Review on Laser-Plasma X-Ray Lithography at RAL in UK (영국 RAL 연구소에서의 레이저플라즈마 X-선 리소그라피 연구)

  • 김남성
    • Proceedings of the Optical Society of Korea Conference
    • /
    • 1998.08a
    • /
    • pp.192-193
    • /
    • 1998
  • At Rutherford Appleton Laboratory(RAL), a high-repetition rate ps exicmer laser-plasma x-ray source has been developed for x-ray lithography with a calibrated output of up to 1 watt X-ray average power at 1nm wavelength. In a previous reports this compact x-ray source was used to print 0.18$\mu$m lines for a gate on Si-FET devices and deep three-dimensional structure with 100$\mu$m length, 25$\mu$m width, and 48 $\mu$m depth for a nanotechnology. The deep X-ray lithography is called as LIGA thchnology and getting a wide interest as a new technology for a nano-device. In this report all this works are summarized.

  • PDF

Development of a Novel Fabrication Process for Multi-layered Microstructures using a Micro Milling and Deep X-ray Lithography (마이크로 밀링과 X-선 리소그래피 공정을 이용한 다층 마이크로 구조물 제작 공정 개발)

  • Kim, Jong Hyun;Chang, Suk Sang;Lim, Geunbae
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.31 no.3
    • /
    • pp.269-275
    • /
    • 2014
  • Conventional machining technologies such as a milling process have limitations in accuracy to fabricate microstructures. Deep X-ray lithography using the synchrotron radiation is a promising micromachining process with an excellent accuracy, whereas there are difficulties in the fabrication of multi-layered structures. Therefore, it is mainly used for fabricating simple mono-layered microstructures with a high aspect ratio. In this study, a novel technology for fabricating multi-layered microstructures is proposed by combining two processes. In advance, an X-ray resist material is cut and machined into various shapes and heights by the micro milling process. Subsequent X-ray irradiation process facilitates the fabrication of multi-layered microstructures. The proposed technology can overcome the limitation of the pattern accuracy in conventional milling process and the difficulty of the multi-layered machining in x-ray process. The usefulness of the proposed technology is demonstrated in this study by applying the technique in the realization of various multi-layered microstructures.

A Novel Fabrication Method of the High-Aspect-Ratio Nano Structure (HAR-Nano Structure) Using a Nano X-Ray Shadow Mask (나노 X-선 쉐도우 마스크를 이용한 고폭비의 나노 구조물 제작)

  • Kim Jong-Hyun;Lee Seung-S.;Kim Yong-Chul
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.30 no.10 s.253
    • /
    • pp.1314-1319
    • /
    • 2006
  • This paper describes the novel fabrication method of the high-aspect-ratio nano structure which is impossible by conventional method using a shadow mask and a Deep X-ray Lithography (DXRL). The shadow mask with $1{\mu}m-sized$ apertures is fabricated on the silicon membrane using a conventional UV-lithography. The size of aperture is reduced to 200nm by accumulated low stress silicon nitride using a LPCVD (low pressure chemical vapor deposition) process. The X-ray mask is fabricated by depositing absorber layer (Au, $3{\mu}m$) on the back side of nano shadow mask. The thickness of an absorber layer must deposit dozens micrometers to obtain contrast more than 100 for a conventional DXRL process. The thickness of $3{\mu}m-absorber$ layer can get sufficient contrast using a central beam stop method, blocking high energy X-rays. The nano circle and nano line, 200nm in diameter in width, respectively, were demonstrated 700nm in height with a negative photoresist of SU-8.

Fabrication of a Micro-Structure by Modified DXRL Process (수정된 DXRL 공정에 의한 미세구조 제작)

  • Han, Sang-Pil;Jeong, Myung-Yung;Jung, Suk-Won;Kim, Jin-Tae
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.27 no.9
    • /
    • pp.1517-1523
    • /
    • 2003
  • Deep X-ray lithography (DXRL), a fabrication method for the production of microstructures with a high aspect ratio, plays an important role in the subsequent electroplanting process. However, secondary radiation is generated during X-ray exposure and damages the resist adhesion to the metal layer. To solve adhesion problems, we modified the conventional DXRL process, changing the sequence of polymer adhesion in DXRL process. With optimized X-ray exposure and development conditions based on a calculated and modified X-ray power spectrum, we fabricated various polymer microstructures and achieved a maximum aspect ratio of 40.

Fabrication of micro structure mold using SLS Rapid Prototyping (SLS형 쾌속조형기를 이용한 미세구조 몰드 제작)

  • 유홍진;김동학;장석원;김태완
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.5 no.2
    • /
    • pp.186-190
    • /
    • 2004
  • By this time, a mold with nano size pattern was produced using a fabrication of X-ray lithography method and in a m icro size's case it was produced using fabrication of Deep UV lithography. In this paper, we produced mold with 400 $\mu{m}$depth pattern using a new technology of SLS(Selective Laser Sintering) Rapid Prototyping method. In addition to enhance strength and thermal stability, we produced Ni structure with a thickness of 300 $\mu{m}$ on a surface of mold using electro forming method.

  • PDF

3D Lithography using X-ray Exposure Devices Integrated with Electrostatic and Electrothermal Actuators

  • Lee, Kwang-Cheol;Lee, Seung S.
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.2 no.4
    • /
    • pp.259-267
    • /
    • 2002
  • We present a novel 3D fabrication method with single X-ray process utilizing an X-ray mask in which a micro-actuator is integrated. An X-ray absorber is electroplated on the shuttle mass driven by the integrated micro-actuator during deep X-ray exposures. 3D microstructures are revealed by development kinetics and modulated in-depth dose distribution in resist, usually PMMA. Fabrication of X-ray masks with integrated electrothermal xy-stage and electrostatic actuator is presented along with discussions on PMMA development characteristics. Both devices use $20-\mu\textrm{m}$-thick overhanging single crystal Si as a structural material and fabricated using deep reactive ion etching of silicon-on-insulator wafer, phosphorous diffusion, gold electroplating, and bulk micromachining process. In electrostatic devices, $10-\mu\textrm{m}-thick$ gold absorber on $1mm{\times}1mm$ Si shuttle mass is supported by $10-\mu\textrm{m}-wide$, 1-mm-long suspension beams and oscillated by comb electrodes during X-ray exposures. In electrothermal devices, gold absorber on 1.42 mm diameter shuttle mass is oscillated in x and y directions sequentially by thermal expansion caused by joule heating of the corresponding bent beam actuators. The fundamental frequency and amplitude of the electrostatic devices are around 3.6 kHz and $20\mu\textrm{m}$, respectively, for a dc bias of 100 V and an ac bias of 20 VP-P (peak-peak). Displacements in x and y directions of the electrothermal devices are both around $20{\;}\mu\textrm{m}$at 742 mW input power. S-shaped and conical shaped PMMA microstructures are demonstrated through X-ray experiments with the fabricated devices.

Microlens Micro V-groove Fabrication by the Modified LIGA Process (변형 DEEP X-ray를 이용한 마이크로 렌즈 및 V-groove 제작)

  • 이정아;이승섭;전병희
    • Transactions of Materials Processing
    • /
    • v.13 no.3
    • /
    • pp.290-295
    • /
    • 2004
  • Mircolens and microlens V-groove are realized using a novel fabrication technology based on the exposure of a resist, usually PMMA, to deep X-rays and subsequent thermal treatment and inclined deep X-ray lithography, respectively. The fabrication technology is very simple and produces microlenses and microlens V-groove with good surface roughness of several nm. The molecular weight and glass transition temperature of PMMA is reduced when it is irradiated with deep X-rays. The microlenses were produced through the effects of volume change, surface tension, and reflow during thermal treatment of irradiated PMMA. Microlenses were produced with diameters ranging from 30 to $1500\mu\textrm{m}$. The surface X-ray mask is also fabricated to realize microlens arrays on PMMA sheet with a large area. The size of the micro V-groove is fabricated in the range of 12~$60\mu\textrm{m}$.

Fabrication of Polymeric Optical Waveguide by LIGA (LIGA공정을 이용한 정밀 고분자 광도파로 제작)

  • Kim, Jin-Tae;Kim, Byeong-Cheol;Choi, Choon-Gi;Yoon, Keun-Byoung;Jeong, Myung-Yung
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.27 no.6
    • /
    • pp.997-1006
    • /
    • 2003
  • LICA technique evolved as a basic fabrication process fur micro-structure. The present report deals with the basic technological features in the sequence of the LIGA technique such as deep x-ray lithography(DXRL), electroplating, and moulding processes at Pohang Light Source (PLS). We designed 3-D structured master for fabrication of polymeric optical wavegude and manufactured polymeric optical wavegude with the same using hot embossing process. Polymeric optical waveguide could be produced with ${\pm}$ 1 $\mu\textrm{m}$ accuracy and good surface roughness.

Deep X-ray Mask with Integrated Micro-Actuator for 3D Microfabrication via LIGA Process (3차원 LIGA 미세구조물 제작을 위한 마이크로 액추에이터 내장형 X-선 마스크)

  • Lee, Kwang-Cheol;Lee, Seung-S.
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.26 no.10
    • /
    • pp.2187-2193
    • /
    • 2002
  • We present a novel method for 3D microfabrication with LIGA process that utilizes a deep X-ray mask in which a micro-actuator is integrated. The integrated micro-actuator oscillates the X-ray absorber, which is formed on the shuttle mass of the micro-actuator, during X-ray exposures to modify the absorbed dose profile in X-ray resist, typically PMMA. 3D PMMA microstructures according to the modulated dose contour are revealed after GG development. An X-ray mask with integrated comb drive actuator is fabricated using deep reactive ion etching, absorber electroplating, and bulk micromachining with silicon-on-insulator (SOI) wafer. 1mm $\times$ 1 mm, 20 $\mu$m thick silicon shuttle mass as a mask blank is supported by four 1 mm long suspension beams and is driven by the comb electrodes. A 10 $\mu$m thick, 50 $\mu$m line and spaced gold absorber pattern is electroplated on the shuttle mass before the release step. The fundamental frequency and amplitude are around 3.6 kHz and 20 $\mu$m, respectively, for a do bias of 100 V and an ac bias of 20 $V_{p-p}$ (peak-peak). Fabricated PMMA microstructure shows 15.4 $\mu$m deep, S-shaped cross section in the case of 1.6 kJ $cm^{-3}$ surface dose and GG development at 35$^{\circ}C$ for 40 minutes.

Recent Trends of Lithographic Technology (반도체 공정용 리소그래피 기술의 최근 동향)

  • Chung, T.J.;You, J.J.
    • Electronics and Telecommunications Trends
    • /
    • v.13 no.5 s.53
    • /
    • pp.38-52
    • /
    • 1998
  • Phase-shifting masks (PSM), optical proximity correction (OPC), off-axis illumination (OAI), annular illumination (AI)의 리소그래피 분해능 향상 기법과 deep ultraviolet photoresist의 개발 및 리소그래피의 최근 기술 동향을 요약 소개한다. DUV 리소그래피의 대안으로 관심을 끌고 있는 scattering with angular limitation projection electron-beam lithography (SCALPEL), extreme ultraviolet lithography (EUVL), X-ray lithography (XRL), ion projection lithography (IPL) 등의 새로운 리소그래피 기술들의 기본 원리와 최근 기술 동향도 소개하였다. 리소그래피는 반도체 공정에 있어서 가장 중요한 부분을 차지하기 때문에 리소그래피의 최근 기술 동향을 검토해 봄으로써 국내 리소그래피 장비 산업의 기술 개발을 위한 방향 설정에 도움이 될 것으로 생각한다.