• Title/Summary/Keyword: Cu 금속 배선

Search Result 70, Processing Time 0.031 seconds

Copper, aluminum based metallization for display applications (표시소자 응용을 위한 copper, aluminum 박막의 성장과 특성)

  • 김형택;배선기
    • Electrical & Electronic Materials
    • /
    • v.8 no.3
    • /
    • pp.340-351
    • /
    • 1995
  • Electrical, physical and optical properties of Aluminum(Al), Copper(Cu) thin films were investigated in order to establish the optimum sputtering parameters in Liquid Crystal Display (LCD) panel applications. DC-magnetron sputtered film on coming 7059 samples were fabricated with variations of deposition power densities, deposition pressures and substrate temperatures. Low resistivity films(AI;2.80 .mu..ohm.-cm, Cu:1.84 .mu..ohm-cm),which lower than the reported values, were obtained under sputtering parameters of power density(250W), substrate temperature(450-530.deg. C) and 5*10$\^$-3/ Torr deposition pressure. Expected columnar growth and stable grain growth of both films was observed through the Scanning Electron Microscope(SEM) micrographs. Dependency of the applicable defect-free film density upon depositon power and temperature was also characterized. Not too noticable variations in X-ray diffraction patterns were remarked under the alterations of sputtering parameters. High optical reflectivities of Al, Cu films, approximately 70-90 %, showed high degree of surface flatness.

  • PDF

구리 박막의 증착 분위기와 처리 과정에 따른 변화

  • Lee, Do-Han;Byeon, Dong-Jin;Jin, Seong-Eon;Choe, Jong-Mun;Kim, Chang-Gyun;Jeong, Taek-Mo
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.05a
    • /
    • pp.23.2-23.2
    • /
    • 2009
  • 기존에 사용되었던 알루미늄 배선 공정은 공정의 배선 크기가 줄어들면서 한계에 다다르고 있다. 따라서 이를 대체하기 위해 여러 가지 새로운 방법들이 고안되고 있으며, 그중 알루미늄을 비저항이 낮고 EM(electro-migration) 저항성이 뛰어난 구리로 대체하려는 연구가 진행되고 있다. 구리 배선은 이미 electroplating 공정을 이용해 산업에 적용되고 있으며, seed layer로는 sputtering 법을 이용하고 있다. 하지만 sputtering 을 포함한 PVD 법은 대부분 종횡비나 단차 피복도가 좋지 않기 때문에 이를 CVD로 교체한다면 많은 장점을 가질 수 있다. 하지만 CVD 공정을 진행하기 위해서는 많은 문제점들이 있는데, 이중 전구체에 대한 문제도 빼놓을 수 없는 이슈이다. Cu(dmamb)2 는 기존에 사용하던 $\beta$-diketonate 계열의 전구체보다 화학적으로 많은 장점을 가지고 있어, CVD 공정에 적합하다. 이에 따라 구리 박막 증착의 공정 조건을 설계하고, 고품질의 박막을 증착하기 위한 다양한 처리법을 고안하여 증착 실험을 진행하였다. 기본적으로 구리는 확산력이 좋아 실리콘계열의 기판에서 확산력이 매우 좋아 기판 내로 확산되기 때문에 이를 방지하기 위하여 Ta, Ti 계열의 박막을 사용하여 확산을 방지하고 있다. 따라서 전이 금속 박막의 표면과 증착 분위기 등을 고려하여 구리를 증착하였으며, 표면의 미세구조 및 성분을 FESEM 등을 통해 분석하였다.

  • PDF

Stretchable Deformation-Resistance Characteristics of Metal Thin Films for Stretchable Interconnect Applications II. Characteristics Comparison for Au, Pt, and Cu Thin Films (신축 전자패키지 배선용 금속박막의 신축변형-저항 특성 II. Au, Pt 및 Cu 박막의 특성 비교)

  • Park, Donghyun;Oh, Tae Sung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.24 no.3
    • /
    • pp.19-26
    • /
    • 2017
  • Stretchable deformation-resistance characteristics of Au, Pt, and Cu films were measured for the stretchable packaging structure where a parylene F was used as an intermediate layer between a PDMS substrate and a metal thin film. The 150 nm-thick Au and Pt films, sputtered on the parylene F-coated PDMS substrate, exhibited the initial resistances of $1.56{\Omega}$ and $5.53{\Omega}$, respectively. The resistance increase ratios at 30% tensile strain were measured as 7 and 18 for Au film and Pt film, respectively. The 150 nm-thick Cu film, sputtered on the parylene F-coated PDMS substrate, exhibited a very poor stretchability compared to Au and Pt films. Its resistance was initially $18.71{\Omega}$, rapidly increased with applying tensile deformation, and finally became open at 5% tensile strain.

Ti/Cu CMP process for wafer level 3D integration (웨이퍼 레벨 3D Integration을 위한 Ti/Cu CMP 공정 연구)

  • Kim, Eunsol;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.3
    • /
    • pp.37-41
    • /
    • 2012
  • The wafer level stacking with Cu-to-Cu bonding becomes an important technology for high density DRAM stacking, high performance logic stacking, or heterogeneous chip stacking. Cu CMP becomes one of key processes to be developed for optimized Cu bonding process. For the ultra low-k dielectrics used in the advanced logic applications, Ti barrier has been preferred due to its good compatibility with porous ultra low-K dielectrics. But since Ti is electrochemically reactive to Cu CMP slurries, it leads to a new challenge to Cu CMP. In this study Ti barrier/Cu interconnection structure has been investigated for the wafer level 3D integration. Cu CMP wafers have been fabricated by a damascene process and two types of slurry were compared. The slurry selectivity to $SiO_2$ and Ti and removal rate were measured. The effect of metal line width and metal density were evaluated.

Cu Metallization for Giga Level Devices Using Electrodeposition (전해 도금을 이용한 기가급 소자용 구리배선 공정)

  • Kim, Soo-Kil;Kang, Min-Cheol;Koo, Hyo-Chol;Cho, Sung-Ki;Kim, Jae-Jeong;Yeo, Jong-Kee
    • Journal of the Korean Electrochemical Society
    • /
    • v.10 no.2
    • /
    • pp.94-103
    • /
    • 2007
  • The transition of interconnection metal from aluminum alloy to copper has been introduced to meet the requirements of high speed, ultra-large scale integration, and high reliability of the semiconductor device. Since copper, which has low electrical resistivity and high resistance to degradation, has different electrical and material characteristics compared to aluminum alloy, new related materials and processes are needed to successfully fabricate the copper interconnection. In this review, some important factors of multilevel copper damascene process have been surveyed such as diffusion barrier, seed layer, organic additives for bottom-up electro/electroless deposition, chemical mechanical polishing, and capping layer to introduce the related issues and recent research trends on them.

Plasma를 통한 기판 전처리가 구리박막 성장에 미치는 영향

  • Jin, Seong-Eon;Choe, Jong-Mun;Lee, Do-Han;Lee, Seung-Mu;Byeon, Dong-Jin;Jeong, Taek-Mo;Kim, Chang-Gyun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.11a
    • /
    • pp.29.1-29.1
    • /
    • 2009
  • 반도체 공정에서의 금속 배선 공정은 매우 중요한 공정 중 하나이다. 기존에 사용되던 알루미늄이 한계에 다다르면서, 대체 재료로 사용되고있는 구리는 낮은 비저항, 높은 열전도도, 우수한 electromigration(EM)저항특성 등을 바탕으로 차세대 nano-scale집적회로의 interconnect application에 적합한 금속재료로서 각광받고 있다. Electroplating을 위한 구리 seed layer CVD 공정은 타 공정에 비해 step coverage가 우수한 막을 증착할 수 있어 고집적 소자의 구현이 가능하다. 본 연구에 이용된 2가 전구체 Cu(dmamb)2는 높은 증기압과 높은 활성화 에너지를 가짐으로서 열적안정성 및 보관안정성이 우수하며, 플루오르를 함유하지 않아 친환경적이다. 구리 증착 전 기판에 plasma 처리를 하면 표면 morphology가 변함에 따라 표면 에너지가 변화하고, 이는 구리의 2차원 성장에 유리하게 작용할 것으로 여겨진다. Plasma의 조건변화에 따른 기판의 morphology 변화 및 성막된 구리의 특성 변화를 분석하였다.

  • PDF

A study on the ECMP process improvement with optimization of $NaNO_3$ Electrolyte ($NaNO_3$ 전해액의 최적화로 인한 ECMP 공정 개선에 관한 연구)

  • Lee, Young-Kyun;Park, Sung-Woo;Han, Sang-Jun;Lee, Sung-Il;Jung, Pan-Geom;Choi, Gwon-Woo;Seo, Yong-Jin;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.53-53
    • /
    • 2007
  • 반도체 소자의 고집적화, 미세화 화로 인해 반도체의 동작속도를 증가시키기 위하여 Cu를 이용한 금속배선이 주목받게 되었으나, 높은 압력으로 인한 보은 Cu 영역에서 과잉 디슁 현상과 에로젼을 유도하고 반도체 웨이퍼위의 low-k 물질에 손상을 줌에 따라 메탈라인 브리징과 단락을 초래할 있어, Cu의 단락인 islands를 남김으로서 표면 결항을 제거하지 못한다는 단점을 가지고 있었다. 그래서 이러한 문제점을 해결하기 위하여 기존의 CMP에 전기화학을 결합시킴으로서 낮은 하력에서의 Cu평탄화를 달성할 수 있는 ECMP (electrochemical mechanical polishing)기술이 필요하게 되었다. 따라서 본 논문에서는 전기화학적 기계적 연마(ECMP)작용을 위해, I-V 특성 곡선을 이용하여 패시베이션 막의 active, passive, transient, trans-passive영역의 전기화학적 특성을 비교 분석하였으며, Cu막의 표면 형상을 알아보기 위해 scanning electron microscopy (SEM) 측정과 energy dispersive spectroscopy (EDS)와 X-ray Diffraction (XRD) 분석을 통해 금속 화학적 조성을 조사하였다.

  • PDF

Electroless Plated Copper Thin Film for Metallization on Printed Circuit Board : Neutral Process (인쇄회로기판상의 금속 배선을 위한 구리 도금막 형성 : 무전해 중성공정)

  • Cho, Yang-Rae;Lee, Youn-Seoung;Rha, Sa-Kyun
    • Korean Journal of Materials Research
    • /
    • v.23 no.11
    • /
    • pp.661-665
    • /
    • 2013
  • We investigated the characteristics of electroless plated Cu films on screen printed Ag/Anodized Al substrate. Cu plating was attempted using neutral electroless plating processes to minimize damage of the anodized Al substrate; this method used sodium hypophosphite instead of formaldehyde as a reducing agent. The basic electroless solution consisted of $CuSO_4{\cdot}5H_2O$ as the main metal source, $NaH_2PO_2{\cdot}H_2O$ as the reducing agent, $C_6H_5Na_3O_7{\cdot}2H_2O$ and $NH_4Cl$ as the complex agents, and $NiSO_4{\cdot}6H_2O$ as the catalyser for the oxidation of the reducing agent, dissolved in deionized water. The pH of the Cu plating solutions was adjusted using $NH_4OH$. According to the variation of pH in the range of 6.5~8, the electroless plated Cu films were coated on screen printed Ag pattern/anodized Al/Al at $70^{\circ}C$. We investigated the surface morphology change of the Cu films using FE-SEM (Field Emission Scanning Electron Microscopy). The chemical composition of the Cu film was determined using XPS (X-ray Photoelectron Spectroscopy). The crystal structures of the Cu films were investigated using XRD (X-ray Diffraction). Using electroless plating at pH 7, the structures of the plated Cu-rich films were typical fcc-Cu; however, a slight Ni component was co-deposited. Finally, we found that the formation of Cu film plated selectively on PCB without any lithography is possible using a neutral electroless plating process.

A Study on The Effect of Current Density on Copper Plating for PCB through Electrochemical Experiments and Calculations (전기화학적 해석을 통한 PCB용 구리도금에 대한 전류밀도의 영향성 연구)

  • Kim, Seong-Jin;Shin, Han-Kyun;Park, Hyun;Lee, Hyo-Jong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.29 no.1
    • /
    • pp.49-54
    • /
    • 2022
  • The copper plating process used to fabricate the submicron damascene pattern of Cu wiring for Si wafer was applied to the plating of a PCB pattern of several tens of microns in size using the same organic additives and current density conditions. In this case, the non-uniformity of the plating thickness inside the pattern was observed. In order to quantitatively analyze the cause, a numerical calculation considering the solution flow and electric field was carried out. The calculation confirmed that the depletion of Cu2+ ions in the solution occurred relatively earlier at the bottom corner than the upper part of the pattern due to the plating of the sidewall and the bottom at the corner of the pattern bottom. The diffusion coefficient of Cu2+ ions is 2.65 10-10 m2/s, which means that Cu2+ ions move at 16.3 ㎛ per second on average. In the cases of small damascene patterns, the velocity of Cu2+ ions is high enough to supply sufficient ions to the inside of the patterns, while sufficient time is required to replenish the exhausted copper ions in the case of a PCB pattern having a size of several tens of microns. Therefore, it is found that the thickness uniformity can be improved by reducing the current density to supply sufficient copper ions to the target area.

Copper MOCVD using catalytic surfactant : Novel concept

  • Hwang, Eui-Seong;Lee, Jihwa
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.30-30
    • /
    • 1999
  • 알루미늄에 비해 전기저항이 낮고 electromigration 및 stress-migration에 대한 저항서이 높은 구리는 차세대 반도체 소자의 배선금속 재료로 여겨지고 있다. 최근 Chemical Mechanical Polishing (CMP) 기술의 도래로 구리배선 공정의 채택이 더욱 앞당겨질 전망이다. 한편, 구리 MOCVD를 위해 다양한 전구체화합물이 합성되었고, 근래에는 Cu(I)(hfc)L (L은 Lewis base 형태의 ligand) 형태의 전구체를 이용한 많은 증착 연구를 통하여 순수하고 전기저항이 낮은 구리 박막의 증착이 보고되었다. 구리 MOCVD의 가장 큰 문제점은 증착속도가 150-$^{\circ}C$20$0^{\circ}C$에서 500$\AA$/min 이하로 낮고 또한 증착된 필름 표면이 매우 거칠다는 데 있다. 이러한 단점으로 인해 전기화학적 증착후 CMP를 적용하는 것이 더욱 경제적이라는 견해가 우세해 지고 있다. 본 강연에서는 박막의 증착 속도와 표면 거칠기를 동시에 향사시키기 위해 catalytic surfactant를 이용한 새로운 MOCVD 개념을 도입하고, 구리 MOCVD에서 단원자층으로 흡착된 요오드 원자가 그 역할을 수행할 수 있음을 보이겠다. 또 요오드원자가 표면반응을 어떻게 수정하여 활성화에너지를 낮추는가를 반응메카니즘으로 밝히고 표면 평탄화의 미시적 해석을 제공하고자 한다. Catalytic Surfactant의 개념은 다른 박막 재료의 MOCVD에도 적용될 수 있으며, 나아가 적절한 기판 표면처리를 통하여 epitaxy도 가능할 것으로 본다.

  • PDF