• Title/Summary/Keyword: Clock Design

Search Result 784, Processing Time 0.028 seconds

Pre-layout Clock Analysis with Static Timing Analysis Algorithm to Optimize Clock Tree Synthesis (Static Timing Analysis (STA) 기법을 이용한 Clock Tree Synthesis (CTS) 최적화에 관한 연구)

  • Park, Joo-Hyun;Ryu, Seong-Min;Jang, Myung-Soo;Choi, Sea-Hawon;Choi, Kyu-Myung;Cho, Jun-Dong;Kong, Jeong-Taek
    • Proceedings of the KIEE Conference
    • /
    • 2004.11c
    • /
    • pp.391-393
    • /
    • 2004
  • For performance and stability of a synchronized system, we need an efficient Clock Tree Synthesis(CTS) methodology to design clock distribution networks. In a system-on-a-chip(SOC) design environment, CTS effectively distributes clock signals from clock sources to synchronized points on layout design. In this paper, we suggest the pre-layout analysis of the clock network including gated clock, multiple clock, and test mode CTS optimization. This analysis can help to avoid design failure with potential CTS problems from logic designers and supply layout constraints so as to get an optimal clock distribution network. Our new design flow including pre-layout CTS analysis and structural violation checking also contributes to reduce design time significantly.

  • PDF

Design Methodologies for Reliable Clock Networks

  • Joo, Deokjin;Kang, Minseok;Kim, Taewhan
    • Journal of Computing Science and Engineering
    • /
    • v.6 no.4
    • /
    • pp.257-266
    • /
    • 2012
  • This paper overviews clock design problems related to the circuit reliability in deep submicron design technology. The topics include the clock polarity assignment problem for reducing peak power/ground noise, clock mesh network design problem for tolerating clock delay variation, electromagnetic interference aware clock optimization problem, adjustable delay buffer allocation and assignment problem to support multiple voltage mode designs, and the state encoding problem for reducing peak current in sequential elements. The last topic belongs to finite state machine (FSM) design and is not directly related to the clock design, but it can be viewed that reducing noise at the sequential elements driven by clock signal is contained in the spectrum of reliable circuit design from the clock source down to sequential elements.

A Study on Repair of Scan Design Rule Violations at Clock and Reset Pins of Scan Cells (스캔셀의 Clock과 Reset핀에서의 스캔 설계 Rule Violations 방지를 위한 설계 변경)

  • Kim, In-Soo;Min, Hyoung-Bok
    • The Transactions of the Korean Institute of Electrical Engineers D
    • /
    • v.52 no.2
    • /
    • pp.93-101
    • /
    • 2003
  • Scan design is a structured design-for-testability technique in which flip-flops are re-designed so that the flip-flops are chained in shift registers. The scan design cannot be used in a design with scan design rule violations without modifying the design. The most important scan design rule is concerning clock and reset signals to pins of the flip-flops or scan cells. Clock and Reset pins of every scan cell must be controllable from top-level ports. We propose a new technique to re-design gated clocks and resets which violate the scan design rule concerning the clock and reset pins. This technique substitutes synchronous sequential circuits for gated clock and reset designs, which removes the clock and reset rule violations and improves fault coverage of the design. The fault coverage is improved from $90.48\%$ to $100.00\%$, from $92.31\%$ to $100.00\%$, from $95.45\%$ to $100.00\%$, from $97.50\%$ to $100.00\%$ in a design with gated clocks and resets.

A New Low-Skew Clock Network Design Method (새로운 낮은 스큐의 클락 분배망 설계 방법)

  • 이성철;신현철
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.41 no.5
    • /
    • pp.43-50
    • /
    • 2004
  • The clock skew is one of the major constraints for high-speed operation of synchronous integrated circuits. In this paper, we propose a hierarchical partitioning based clock network design algorithm called Advanced Clock Tree Generation (ACTG). Especially new effective partitioning and refinement techniques have been developed in which the capacitance and edge length to each sink are considered from the early stage of clock design. Hierarchical structures obtained by parhtioning and refinement are utilized for balanced clock routing. We use zero skew routing in which Elmore delay model is used to estimate the delay. An overlap avoidance routing algorithm for clock tree generation is proposed. Experimental results show significant improvement over conventional methods.

An On-Chip Test Clock Control Scheme for Circuit Aging Monitoring

  • Yi, Hyunbean
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.13 no.1
    • /
    • pp.71-78
    • /
    • 2013
  • In highly reliable and durable systems, failures due to aging might result in catastrophes. Aging monitoring techniques to prevent catastrophes by predicting such a failure are required. Aging can be monitored by performing a delay test at faster clocks than functional clock in field and checking the current delay state from the test clock frequencies at which the delay test is passed or failed. In this paper, we focus on test clock control scheme for a system-on-chip (SoC) with multiple clock domains. We describe limitations of existing at-speed test clock control methods and present an on-chip faster-than-at-speed test clock control scheme for intra/inter-clock domain test. Experimental results show our simulation results and area analysis. With a simple control scheme, with low area overhead, and without any modification of scan architecture, the proposed method enables faster-than-at-speed test of SoCs with multiple clock domains.

Clock Mesh Network Design with Through-Silicon Vias in 3D Integrated Circuits

  • Cho, Kyungin;Jang, Cheoljon;Chong, Jong-Wha
    • ETRI Journal
    • /
    • v.36 no.6
    • /
    • pp.931-941
    • /
    • 2014
  • Many methodologies for clock mesh networks have been introduced for two-dimensional integrated circuit clock distribution networks, such as methods to reduce the total wirelength for power consumption and to reduce the clock skew variation through consideration of buffer placement and sizing. In this paper, we present a methodology for clock mesh to reduce both the clock skew and the total wirelength in three-dimensional integrated circuits. To reduce the total wirelength, we construct a smaller mesh size on a die where the clock source is not directly connected. We also insert through-silicon vias (TSVs) to distribute the clock signal using an effective clock TSV insertion algorithm, which can reduce the total wirelength on each die. The results of our proposed methods show that the total wirelength was reduced by 12.2%, the clock skew by 16.11%, and the clock skew variation by 11.74%, on average. These advantages are possible through increasing the buffer area by 2.49% on the benchmark circuits.

Hardware design and control method for controlling an input clock frequency in the application

  • Lee, Kwanho;Lee, Jooyoung
    • International Journal of Advanced Culture Technology
    • /
    • v.4 no.4
    • /
    • pp.30-37
    • /
    • 2016
  • In this paper, the method of controlling the clock that is inputted on the hardware from the application, and the hardware design method are to be proposed. When the hardware is synthesized to the Field Programmable Gate Array(FPGA), the input clock is fixed, and when the input clock is changed, the synthesis process must be passed again to require more time. To solve this problem, the Mixed-Mode Clock Manager(MMCM) module is mounted to control the MMCM module from the application. The controlled MMCM module controls the input clock of the module. The experiment was process the Neural Network algorithm in the x86 CPU and SIMT based processor mounted the FPGA. The results of the experiment, SIMT-based processors, the time that is processed at a frequency of 50MHz was 77ms, 100MHz was 34ms. There was no additional synthesis time due to a change of the clock frequency.

Design of Monitoring System for Pseudolite Clock Synchronization (의사위성 시각동기 모니터링 시스템 설계)

  • Hwang, Soyoung;Yu, Dong-Hui;Lee, Juhyun;Lee, Sangjeong
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2014.10a
    • /
    • pp.163-164
    • /
    • 2014
  • Pseudolite systems are used for backup systems of GPS satellite or indoor navigation systems. The pseudolite transmits GPS-like signal on the ground. Fundamentally, to estimate a position, clock synchronization among satellites is essential, because GPS receiver uses measurement based on TOA. Therefore, in order to improve the navigation performance in applications using pseudolite, clock synchronization with GPS satellites is required. This paper proposes design of monitoring system for pseudolite clock synchronization. The monitoring system analyzes clock synchronization accuracy of pseudolite and can be used for clock adjustment.

  • PDF

A 23.52µW / 0.7V Multi-stage Flip-flop Architecture Steered by a LECTOR-based Gated Clock

  • Bhattacharjee, Pritam;Majumder, Alak;Nath, Bipasha
    • IEIE Transactions on Smart Processing and Computing
    • /
    • v.6 no.3
    • /
    • pp.220-227
    • /
    • 2017
  • Technology development is leading to the invention of more sophisticated electronics appliances that require long battery life. Therefore, saving power is a major concern in current-day scenarios. A notable source of power dissipation in sequential structures of integrated circuits is due to the continuous switching of high-frequency clock signals, which do not carry any information, and hence, their switching is eliminated by a method called clock gating. In this paper, we have incorporated a recent clock-gating style named Leakage Control Transistor (LECTOR)-based clock gating to drive a multi-stage sequential architectures, and we focus on its performance under three different process corners (fast-fast, slow-slow, typical-typical) through Monte Carlo simulation at 18 GHz clock with 90 nm technology. This gating is found to be one of the best gated approaches for multi-stage architectures in terms of total power consumption.