DOI QR코드

DOI QR Code

Design Methodologies for Reliable Clock Networks

  • Joo, Deokjin (Department of Electrical Engineering and Computer Science, Seoul National University) ;
  • Kang, Minseok (Department of Electrical Engineering and Computer Science, Seoul National University) ;
  • Kim, Taewhan (Department of Electrical Engineering and Computer Science, Seoul National University)
  • Received : 2012.10.30
  • Accepted : 2012.11.16
  • Published : 2012.12.30

Abstract

This paper overviews clock design problems related to the circuit reliability in deep submicron design technology. The topics include the clock polarity assignment problem for reducing peak power/ground noise, clock mesh network design problem for tolerating clock delay variation, electromagnetic interference aware clock optimization problem, adjustable delay buffer allocation and assignment problem to support multiple voltage mode designs, and the state encoding problem for reducing peak current in sequential elements. The last topic belongs to finite state machine (FSM) design and is not directly related to the clock design, but it can be viewed that reducing noise at the sequential elements driven by clock signal is contained in the spectrum of reliable circuit design from the clock source down to sequential elements.

Keywords

References

  1. M. A. B. Jackson, A. Srinivasan, and E. S. Kuh, "Clock routing for high-performance ICs," Proceedings of the 27th ACM/IEEE Design Automation Conference, Orlando, FL, 1990, pp. 573-579.
  2. T. H. Chao, Y. C. Hsu, J. M. Ho, and A. B. Kahng, "Zero skew clock routing with minimum wirelength," IEEE Transactions on Circuits and Systems II Analog and Digital Signal Processing, vol. 39, no. 11, pp. 799-814, 1992. https://doi.org/10.1109/82.204128
  3. M. Edahiro, "A clustering-based optimization algorithm in zero-skew routings," Proceedings of the 30th Conference on Design Automation, Dallas, TX, 1993, pp. 612-616.
  4. Y. P. Chen and D. F. Wong, "An algorithm for zero-skew clock tree routing with buffer insertion," Proceedings of the European Conference on Design and Test, Paris, France, 1996, p. 230.
  5. G. E. Tellez and M. Sarrafzadeh, "Minimal buffer insertion in clock trees with skew and slew rate constraints," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, no. 4, pp. 333-342, 1997. https://doi.org/10.1109/43.602470
  6. R. Chaturvedi and J. Hu, "Buffered clock tree for high quality IC design," Proceedings of the 5th International Symposium on Quality Electronic Design, San Jose, CA, 2004, pp. 381-386.
  7. D. Lee and I. L. Markov, "CONTANGO: integrated optimization of SoC clock networks," VLSI Design, vol. 2011, article no. 407507, 2011.
  8. X. W. Shih and Y. W. Chang, "Fast timing-model independent buffered clock-tree synthesis," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 9, pp. 1393-1404, 2012. https://doi.org/10.1109/TCAD.2012.2191554
  9. A. Vittal, H. Ha, F. Brewer, and M. Marek-Sadowska, "Clock skew optimization for ground bounce control," Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 1996, pp. 395-399.
  10. P. Vuillod, L. Benini, A. Bogliolo, and G. De Micheli, "Clock-skew optimization for peak current reduction," Proceedings of the International Symposium on Low Power Electronics and Design, Monterey, CA, 1996, pp. 265-270.
  11. Y. T. Nieh, S. H. Huang, and S. Y. Hsu, "Minimizing peak current via opposite-phase clock tree," Proceedings of the 42nd Design Automation Conference, San Diego, CA, 2005, pp. 182-185.
  12. R. Samanta, G. Venkataraman, and J. Hu, "Clock buffer polarity assignment for power noise reduction," Proceedings of the IEEE/ACM International Conference on Computer- Aided Design, San Jose, CA, 2006, pp. 558-562.
  13. J. Lu and B. Taskin, "Clock buffer polarity assignment considering capacitive load," Proceedings of the 11th International Symposium on Quality Electronic Design, San Jose, CA, 2010, pp. 765-770.
  14. P. Y. Chen, K. H. Ho, and T. T. Hwang, "Skew aware polarity assignment in clock tree," Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2007, pp. 376-379.
  15. H. Jang, D. Joo, and T. Kim, "Buffer sizing and polarity assignment in clock tree synthesis for power/ground noise minimization," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 1, pp. 96-109, 2011. https://doi.org/10.1109/TCAD.2010.2066650
  16. D. Joo and T. Kim, "WaveMin: a fine-grained clock buffer polarity assignment combined with buffer sizing," Proceedings of the 48th ACM/EDAC/IEEE Design Automation Conference, New York, NY, 2011, pp. 522-527.
  17. M. Kang and T. Kim, "Clock buffer polarity assignment considering the effect of delay variations," Proceedings of the 11th International Symposium on Quality Electronic Design, San Jose, CA, 2010, pp. 69-74.
  18. Y. Ryu and T. Kim, "Clock buffer polarity assignment combined with clock tree generation for power/ground noise minimization," Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2008, pp. 419-419.
  19. J. Lu and B. Taskin, "Clock tree synthesis with XOR gates for polarity assignment," Proceedings of the IEEE Computer Society Annual Symposium on VLSI, Lixouri, Kefalonia, 2010, pp. 17-22.
  20. Y. Liu, S. R. Nassif, L. T. Pileggi, and A. J. Strojwas, "Impact of interconnect variations on the clock skew of a gigahertz microprocessort," Proceedings of the Design Automation Conference, Los Angeles, CA, 2000, pp. 168-171.
  21. G. Venkataraman, Z. Feng, J. Hu, and P. Li, "Combinatorial algorithms for fast clock mesh optimization," Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2006, pp. 563-567.
  22. K. Jain, "A factor 2 approximation algorithm for the generalized Steiner network problem," Proceedings of the 39th Annual Symposium on Foundations of Computer Science, Palo Alto, CA, 1998, pp. 448-457.
  23. A. Rajaram and D. Z. Pan, "MeshWorks: a comprehensive framework for optimized clock mesh network synthesis," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 12, pp. 1945-1958, 2010. https://doi.org/10.1109/TCAD.2010.2061130
  24. Nanoscale Integration and Modeling (NIMO) Group, Arizona State University, "Predictive technology model," http://ptm.asu.edu/.
  25. H. Chang and S. S. Sapatnekar, "Statistical timing analysis under spatial correlations," IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, vol. 24, no. 9, pp. 1467-1482, 2005. https://doi.org/10.1109/TCAD.2005.850834
  26. H. W. Ott, Noise Reduction Techniques in Electronic Systems, 2nd ed., New York: John Wiley & Sons, 1988.
  27. D. Pandini, G. A. Repetto, and V. Sinisi, "Clock distribution techniques for low-EMI design," Integrated Circuit and System Design: Power and Timing Modeling, Optimization and Simulation, Lecture Notes in Computer Science Volume 4644, N. Azemard and L. Svensson, editors, Heidelberg: Springer Berlin, pp 201-210, 2007.
  28. K. B. Hardin, J. T. Fessler, and D. R. Bush, "Spread spectrum clock generation for the reduction of radiated emissions," Proceedings of the IEEE International Symposium on Electromagnetic Compatibility, Chicago, IL, 1994, pp. 227-231.
  29. X. Hu and M. R. Guthaus, "Clock tree optimization for electromagnetic compatibility (EMC)," Proceedings of the 16th Asia and South Pacific Design Automation Conference, Yokohama, Japan, 2011, pp. 184-189.
  30. Y. S. Su, W. K. Hon, C. C. Yang, S. C. Chang, and Y. J. Chang, "Value assignment of adjustable delay buffers for clock skew minimization in multi-voltage mode designs," Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2009, pp. 535-538.
  31. A. Kapoor, N. Jayakumar, and S. P. Khatri, "A novel clock distribution and dynamic de-skewing methodology," Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2004, pp. 626-631.
  32. K. H. Lim and T. Kim, "An optimal algorithm for allocation, placement, and delay assignment of adjustable delay buffers for clock skew minimization in multi-voltage mode designs," Proceedings of the 16th Asia and South Pacific Design Automation Conference, Yokohama, Japan, 2011, pp. 503-508.
  33. S. H. Huang, C. M. Chang, and Y. T. Nieh, "State re-encoding for peak current minimization," Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2006, pp. 33-38.
  34. L. Benini and G. De Micheli, "State assignment for low power dissipation," IEEE Journal of Solid-State Circuits, vol. 30, no. 3, pp. 258-268, 1995. https://doi.org/10.1109/4.364440
  35. Y. Lee and T. Kim, "State encoding algorithm for peak current minimization," IET Computer & Design Techniques, vol. 5, no. 2, pp. 113-122, 2011. https://doi.org/10.1049/iet-cdt.2009.0082
  36. F. A. Aloul, A. Ramani, I. L. Markov, and K. A. Sakallah, "PBS: a backtrack-search pseudo-boolean solver and optimizer," Proceedings of the 5th International Symposium on Theory and Applications of Satisfiability Testing, Cincinnati, OH, 2000, pp. 346-353.
  37. J. Gu, G. Qu, L. Yuan, and Q. Zhou, "Peak current reduction by simultaneous state replication and re-encoding," Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, 2010, pp. 592-595.
  38. E. H. Nam, K. S. Choi, J. Y. Choi, H. J. Min, S. L. Min, "Hardware platforms for flash memory/NVRAM software development," Journal of Computer Science and Engineering, vol. 3, no. 3, pp. 181-194, 2009. https://doi.org/10.5626/JCSE.2009.3.3.181
  39. T. Kim, "Task-level dynamic voltage scaling for embedded system design," Journal of Computer Science and Engineering, vol. 4, no. 3, pp. 189-206, 2010. https://doi.org/10.5626/JCSE.2010.4.3.189