• 제목/요약/키워드: CMP Slurry

검색결과 365건 처리시간 0.02초

CMP 슬러리 연마제의 재활용에 대한 연구 (A Study on the recycle of CMP Slurry Abrasives)

  • 이경진;김기욱;박성우;최운식;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 제5회 학술대회 논문집 일렉트렛트 및 응용기술연구회
    • /
    • pp.109-112
    • /
    • 2003
  • Recently, CMP (Chemical mechanical polishing) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, COO (cost of ownership) and COC (cost of consumables) were relatively increased because of expensive slurry. In this paper, we have studied the possibility of recycle of reused silica slurry in order to reduce the costs of CMP slurry. Also, we have collected the silica abrasive powders by filtering after subsequent CMP process for the purpose of abrasive particle recycling. And then, we annealed the collected abrasive powders to promote the mechanical strength of reduced abrasion force. Finally, we compared the CMP characteristics between self-developed KOH-based silica abrasive slurry and original slurry. As our experimental results, we obtained the comparable removal rate and good planarity with commercial products. Consequently, we can expect the saving of high cost slurry.

  • PDF

Ceria 입자 Oxide CMP에서의 연마 균일도 연구 (Investigation of Uniformity in Ceria based Oxide CMP)

  • 임종흔;이재동;홍창기;조한구;문주태
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.120-124
    • /
    • 2004
  • 본 연구는 Diluted Ceria 입자를 사용한 $SiO_2$(Oxide) CMP 현상에 대한 내용이다. Ceria Slurry의 경우 Silica Slurry와 비교하였을 때 Oxide Wafer 표면과 축합 화학반응을 일으키며 Chemistry Dominant한 CMP Mechanism을 따르고, Wafer Center Removal Rate(RR) Fast 의 특성을 가진다. Ceria Slurry의 문제점인 연마 불균일도를 해결하기 위해 Tribological System을 이용하였다. CMP Tribology는 Pad-Slurry 유막-Wafer의 System을 가지며 윤활막에 작용하는 마찰계수(COF)가 주요 인자이다. Tribology에 적용되는 Stribeck Curve를 통해 Slurry 윤활막의 두께(h) 정도를 예상할 수 있으며, 이 윤활막의 두께를 조절함으로써 Uniformity 향상이 가능하다. 이 Ceria Slurry CMP의 연마 불균일도를 향상시킬 수 있는 방법으로 pH 조절 및 점도 증가가 있다. Ceria 입자 CMP는 분산액의 pH 변화에 강한 작용을 받게 되며 PH5 근방에서 최적화된 Uniformity가 가능하다. 점도를 증가시키는 경우 유막 h가 증가하게 되어 Ceria Slurry의 유동이 균일 분포 상태에 가까워지며 Wafer Uniformity 향상이 가능하다.

  • PDF

CMP 슬러리 연마제의 어닐링 효과 (Annealing effects of CMP slurry abrasives)

  • 박창준;정소영;김철복;최운식;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 제5회 학술대회 논문집 일렉트렛트 및 응용기술연구회
    • /
    • pp.105-108
    • /
    • 2003
  • CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. However, the COO(cost of ownership) is very high, because of high consumable cost. Especially, among the consumables, slurry dominates more than 40 %. So, we focused how to reduce the consumption of raw slurry. In this paper, We have studied the CMP (chemical mechanical polishing) characteristics of slurry by adding of raw alumina abrasive and annealed alumina abrasive. As a experimental results, we obtained the comparable slurry characteristics compared with original silica slurry in the view point of high removal rate and low non-uniformity. Therefore, we can reduce the cost of consumables(COC) of CMP process for ULSI applications.

  • PDF

구리 CMP 적용을 위한 산성 콜로이드 실리카를 포함한 준무연마제 슬러리 연구 (A Study on Semi Abrasive Free Slurry including Acid Colloidal Silica for Copper Chemical Mechanical Planarization)

  • 김남훈;김상용;서용진;김태형;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제17권3호
    • /
    • pp.272-277
    • /
    • 2004
  • The primary aim of this study is to investigate new semi-abrasive free slurry including acid colloidal silica and hydrogen peroxide for copper chemical-mechanical planarization (CMP). In general, slurry for copper CMP consists of colloidal silica as an abrasive, organic acid as a complex-forming agent, hydrogen peroxide as an oxidizing agent, a film forming agent, a pH control agent and several additives. We developed new semi-abrasive free slurry (SAFS) including below 0.5% acid colloidal silica. We evaluated additives as stabilizers for hydrogen peroxide as well as accelerators in tantalum nitride CMP process. We also estimated dispersion stability and Zeta potential of the acid colloidal silica with additives. The extent of enhancement in tantalum nitride CMP was verified through anelectrochemical test. This approach may be useful for the application of single and first step copper CMP slurry with one package system.

가스센서 $SnO_2$ 박막의 광역평탄화 특성 (CMP properties of $SnO_2$ thin film)

  • 최권우;이우선;박정민;최석조;박도성;김남오
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2004년도 하계학술대회 논문집 C
    • /
    • pp.1600-1604
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis of used slurry.

  • PDF

실리콘 웨이퍼위에 증착된 실리케이트 산화막의 CMP 슬러리 오염 특성 (CMP Slurry Induction Properties of Silicate Oxides Deposited on Silicon Wafer)

  • 김상용;서용진;이우선;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제13권2호
    • /
    • pp.131-136
    • /
    • 2000
  • We have investigated the slurry induced metallic contaminations of undoped and doped silicate oxides surface on CMP cleaning process. The metallic contaminations by CMP slurry were evaluated in four different oxide films, such as plasma enhanced tetra-ethyl-orthyo-silicate glass(PE-TEOS), O3 boro-phos-pho-silicate glass(O3-BPSG), PE-BPSG, and phospho-silicate glass(PSG). All films were polished with KOH-based slurry prior to entering the post-CMP cleaner. The Total X-Ray fluorescence(TXRF) measurements showed that all oxide surfaces are heavily contaminated by potassium and calcium during polishing which is due to a CMP slurry. The polished O3-BPSG films presented higher potassium and calcium contaminations compared to PE-TEOS because of a mobile ions gettering ability of phosphorus. For PSG oxides, the slurry induced mobile ion contamination increased with an increase of phosphorus contents. In addition, the polishing removal rate of PSG oxides had a linear relationship as a function of phosphorus contents.

  • PDF

CMP 공정에서 슬러리 필터설치에 따른 결함 밀도 개선 (Improvement of Defect Density by Slurry Fitter Installation in the CMP Process)

  • 김철복;서용진;김상용;이우선;김창일;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 춘계학술대회 논문집 반도체재료
    • /
    • pp.30-33
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectrics, which can apply to employed in integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of free-defects in inter-level dielectrics (ILD). Especially, defects like micro-scratch lead to severe circuit failure, and affects yield. CMP slurries can contain particles exceeding $1{\mu}m$ size, which could cause micro-scratch on the wafer surface. The large particles in these slurries may be caused by particle agglomeration in slurry supply line. To reduce these defects, slurry filtration method has been recommended in oxide CMP. In this work, we have studied the effects of filtration and the defect trend as a function of polished wafer count using various filters in inter-metal dielectric(IMD)-CMP. The filter installation in CMP polisher could reduce defect after IMD-CMP. As a result of micro-scratches formation, it shows that slurry filter plays an important role in determining consumable pad lifetime.

  • PDF

Chemical mechanical planarization 슬러리에 사용되는 point-of-use 필터의 평가 방법 개발 (Development of point-of-use filter evaluation method using chemical mechanical planarization slurry)

  • 장선재;아툴 쿨르카르니;김형우;김태성
    • 한국입자에어로졸학회지
    • /
    • 제12권4호
    • /
    • pp.145-150
    • /
    • 2016
  • During the chemical mechanical planarization (CMP) process, slurry that comprises abrasive particles can directly affect the CMP performance and quality. Mainly, the large particles in the slurry can generate the defects on the wafer. Thus, many kinds of filters have been used in the CMP process to remove unwanted over-sized particles. Among these filters, the point-of-use (POU) filter is used just before the slurry is supplied onto the CMP pad. In the CMP research field, analysis of the POU filter has been relatively exceptional, and previous studies have not focused on the standardized filtration efficiency (FE) or filter performance. Furthermore, conventional evaluation methods of filter performance are not appropriate for POU filters, as the POU filter is not a membrane type, but is instead a depth type roll filter. In order to accurately evaluate the POU filter, slurry FE according to particle size was measured in this study. Additionally, a CMP experiment was conducted with filtered slurry to demonstrate the effects of filtered slurry on CMP performance. Depending on the flow rate and the filter retention size, the FE according to particle size was different. When the small and large particles have different FEs, the total filtration efficiency (TFE) can still have a similar value. For this reason, there is a need to measure the FE with respect to the particle size to verify the effects of the POU filter on the CMP process.

텅스텐 슬러리를 사용한 Cu-CMP 특성에서 산화제 첨가의 영향 (Effects of Oxidizer Additive on the Performance of Copper-Chemical Mechanical Polishing using Tungsten Slurry)

  • 이우선;최권우;이영식;최연옥;오용택;서용진
    • 한국전기전자재료학회논문지
    • /
    • 제17권2호
    • /
    • pp.156-161
    • /
    • 2004
  • We investigated the effects of oxidizer additive on the performance of Cu-CMP process using commonly used tungsten slurry. In order to compare the removal rate and non-uniformity as a function of oxidizer contents, we used alumina-based tungsten slurry and copper blanket wafers deposited by DC sputtering method. According to the CMP removal rates and particle size distribution, and the microstructures of surface layer by SEM image as a function or oxidizer contents were greatly influenced by the slurry chemical composition of oxidizers. The difference in removal rate and roughness of copper surface are believed to cause by modification in the mechanical behavior of $Al_2$O$_3$abrasive particles in CMP slurry.

Roles of Phosphoric Acid in Slurry for Cu and TaN CMP

  • Kim, Sang-Yong;Lim, Jong-Heun;Yu, Chong-Hee;Kim, Nam-Hoon;Chang, Eui-Goo
    • Transactions on Electrical and Electronic Materials
    • /
    • 제4권2호
    • /
    • pp.1-4
    • /
    • 2003
  • The purpose of this study was to investigate the characteristics of slurry including phosphoric acid for chemical-mechanical planarization of copper and tantalum nitride. In general, the slurry for copper CMP consists of alumina or colloidal silica as an abrasive, organic acid as a complexing agent, an oxidizing agent, a film forming agent, a pH control agent and additives. Hydrogen peroxide (H$_2$O$_2$) is the material that is used as an oxidizing agent in copper CMP. But, the hydrogen peroxide needs some stabilizers to prevent decomposition. We evaluated phosphoric acid (H$_3$PO$_4$) as a stabilizer of the hydrogen peroxide as well as an accelerator of the tantalum nitride CMP process. We also estimated dispersion stability and zeta potential of the abrasive with the contents of phosphoric acid. An acceleration of the tantalum nitride CMP was verified through the electrochemical test. This approach may be useful for the development of the 2$\^$nd/ step copper CMP slurry and hydrogen peroxide stability.