• Title/Summary/Keyword: CMP (chemical mechanical polishing)

Search Result 429, Processing Time 0.032 seconds

A Study on STI CMP Characteristics using Microstructure Pad (마이크로 표면 구조물을 갖는 패드의 STI CMP 특성 연구)

  • Jung, Jae-Woo;Park, Ki-Hyun;Jang, One-Moon;Park, Sun-Joon;Jeong, Moon-Ki;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.11a
    • /
    • pp.356-357
    • /
    • 2005
  • Chemical mechanical polishing (CMP) allows the planarization of wafers with two or more materials at their surfaces. Especially, polishing pad is considered as one of the most important consumables because of its properties. Subject of this investigation is to apply CMP for planarization of shallow trench isolation structure using microstructure pad. Microstructure pad is designed to have uniform structure on its surface and fabricated by micro-molding technology. And then STI CMP performances such as oxide dishing and nitride corner rounding are evaluated.

  • PDF

The Study on Pattern Dependent Modeling of ILD CMP (패턴에 따른 층간절연막 CMP의 모델리에 관한 연구)

  • 홍기식;정해도
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2001.04a
    • /
    • pp.1121-1124
    • /
    • 2001
  • In this study, we verify th effects of pattern density on interlayer dielectric chemical mechanical polishing process based on the analysis of Preston's equation and confirm this analysis by several experiments. Appropriate modeling equation, transformed form Preston's equations used in glass polishing, will be suggested and described the effects of this modeling during pattern wafer ILD CMP. Results indicate that the modeling is well agreed to middle density structure of the die in pattern wafer, but has some error in low and high density structure of the die. Actually, the die used in Fab, was designed to have a appropriate density, therefore this modeling will be suitable for estimating the results of ILD CMP.

  • PDF

Electrochemical Corrosion and Chemical Mechanical Polishing(CMP) Characteristics of Tungsten Film using Mixed Oxidizer (혼합 산화제를 사용한 텅스텐 막의 전기화학적 부식 및 CMP 특성)

  • Na, Eun-Young;Seo, Yong-Jin;Lee, Woo-Sun
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.4
    • /
    • pp.303-308
    • /
    • 2005
  • In this paper, the effects of oxidants on tungsten chemical mechanical polishing (CMP) process were investigated using three different oxidizers such as Fe(NO₃)₃, KIO₃ and H₂O₂. Moreover, the interaction between the tungsten film and the oxidizer was discussed by potentiodynamic polarization measurement with three different oxidizers, in order to compare the effects of W-CMP and electrochemical characteristics on the tungsten film as a function of oxidizer. As an experimental result, the tungsten removal rate reached a maximum at 5 wt% Fe(NO₃)₃concentration, and when 5 wt% H₂O₂was added in the slurry, the removal rate of W increased. Also, the microstructures of surface layer by atomic force microscopy(AFM) image were greatly influenced by the slurry chemical composition of oxidizers. It was shown that the surface roughness and removal rate of the polished surface were improved in Fe(NO₃)₃than KIO₃. The electrochemical results indicate that the corrosion current density of the 5 wt% H₂O₂ and 5 wt% H₂O/sub 2+/+ 5 wt% Fe(NO₃)₃was higher than the other oxidizers. Therefore, we conclude that the W-CMP characteristics are strongly dependent on the kinds of oxidizers and the amounts of oxidizer additive.

Planarization & Polishing of single crystal Si layer by Chemical Mechanical Polishing (화학적 기계 연마(CMP)에 의한 단결정 실리콘 층의 평탄 경면화에 관한 연구)

  • 이재춘;홍진균;유학도
    • Journal of the Korean Vacuum Society
    • /
    • v.10 no.3
    • /
    • pp.361-367
    • /
    • 2001
  • Recently, Chemical Mechanical Polishing(CMP) has become a leading planarization technique as a method for silicon wafer planarization that can meet the more stringent lithographic requirement of planarity for the future submicron device manufacturing. The SOI(Silicon On Insulator) wafer has received considerable attention as bulk-alternative wafer to improve the performance of semiconductor devices. In this paper, the objective of study is to investigate Material Removal Rate(MRR) and surface micro-roughness effects of slurry and pad in the CMP process. When particle size of slurry is increased, Material Removal rate increase. Surface micro-roughness is greater influenced by pad than by particle size of slurry. As a result of AM measurement, surface micro-roughness was improved from 27 $\AA$ Rms to 0.64 $\AA$Rms.

  • PDF

Improvement of Chemical Mechanical Polishing (CMP) Performance of Nickel by Additions of Abrasive and Various Oxidizers (산화제 및 연마제 첨가를 통한 Nickel CMP 특성 개선 연구)

  • Choi, Gwon-Woo;Kim, Nam-Hoon;Seo, Yong-Jin;Lee, Woo-Sun
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.7
    • /
    • pp.605-609
    • /
    • 2005
  • Chemical mechanical polishing (CMP) of Ni was performed by the various ratios of four kinds of oxidizers and an addition of alumina powders as an abrasive in each slurry with the different oxidizers. Moreover, the interaction between the Ni and the each oxidizer was discussed by potentiodynamic polarization measurement, in order to compare the effects of Ni-CMP and electrochemical characteristics on the Ni with the different oxidizers. As an experimental result, the removal rate of Ni reached a maximum at 1 $vol\%$ of $H_2O_2$. Also the removal rates of Ni increased with the audition of alumina abrasives in each slurry. The potentiodynamic polarization of Ni under dynamic condition showed a significant difference in electrochemical behavior by addition of $H_2O_2$ in solutions. Ni showed the perfect passivation behavior in solution without $H_2O_2$ under potentiodynamic polarization condition, while active dissolution dominates in solution with the addition of $H_2O_2$. The results indicate that the surface chemistry and electrochemical characteristics of Ni play an important role in controlling the polishing behavior of Ni.

Study on the Abrasive Capsulation Pad in Interlayer Dielectric Chemical Mechanical Polishing (층간절연막 화학기계연마에서 입자코팅패드에 관한 연구)

  • Kim, Ho-Yun;Park, Jae-Hong;Jeong, Hae-Do;Seo, Hyeon-Deok;Nam, Cheol-U;Lee, Sang-Ik
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.18 no.11
    • /
    • pp.168-173
    • /
    • 2001
  • The chemical mechanical polishing (CMP) is generally consisted of pad, slurry including abrasives and so on. However, there are some problems in a general CMP: defects, a high Cost of Consumable (CoC), an environmental problem. The slurry including abrasives especially gives rise to not only increase a CoC, but also prohibition from achieving an eco-process. This paper introduces an abrasive capsulation pad to achieve an eco-process decreasing abrasives used is CMP. The binder wth a water a water swelling and a water soluble characteristic is used for an auto-conditioning, and the $CeO_2$abrasive is selected for an abrasive capsulation pad. Comparing with a conventional CMP, an abrasive capsulation pad appears good characteristics in ILD CMP and is able to achieve an eco-process decreasing wasted slurry.

  • PDF

A Study for the Improvement of Torn Oxide Defects in Shallow Trench Isolation-Chemical Mechanical Polishing (STI-CMP) Process (STI--CMP 공정에서 Torn oxide 결함 해결에 관한 연구)

  • 서용진;정헌상;김상용;이우선;이강현;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.1
    • /
    • pp.1-5
    • /
    • 2001
  • STI(shallow trench isolation)-CMP(chemical mechanical polishing) process have been substituted for LOCOS(local oxidation of silicon) process to obtain global planarization in the below sub-0.5㎛ technology. However TI-CMP process, especially TI-CMP with RIE(reactive ion etching) etch back process, has some kinds of defect like nitride residue, torn oxide defect, etc. In this paper, we studied how to reduced torn oxide defects after STI-CMP with RIE etch back processed. Although torn oxide defects which can occur on trench area is not deep and not severe, torn oxide defects on moat area is not deep and not severe, torn oxide defects on moat area is sometimes very deep and makes the yield loss. Thus, we did test on pattern wafers which go through trench process, APECVD process, and RIE etch back process by using an IPEC 472 polisher, IC1000/SUVA4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the origin of torn oxide defects.

  • PDF

Study on dispersion stability according to AMP content of CMP ceria slurry for semiconductor (반도체 CMP 용 세리아 슬러리의 AMP 함량에 따른 분산안정성에 관한 연구)

  • Sohee Hwang;JinA Lim;Woonjung Kim
    • Transactions on Semiconductor Engineering
    • /
    • v.2 no.2
    • /
    • pp.1-9
    • /
    • 2024
  • CMP (Chemical Mechanical Polishing) processes have become essential for creating multilayered component structures in semiconductor manufacturing. Typically, the slurry composition in CMP processes involves a balance of three components such as ceria, dispersant, and deionized water. In this study, we conducted research on the stability of ceria slurries using an amphoteric surfactant with controlled concentrations of AMP (2-Amino-2-methyl-1-propanol). The results indicated pH stabilization influenced by carboxylic (-COOH) groups depending on the AMP concentration. Additionally, there was no occurrence of aggregation in the ceria slurry, confirming the absence of dispersion stability issues.

Chemical Mechanical Polishing (CMP) Characteristics of BST Ferroelectric Film by Sol-Gel Method (졸겔법에 의해 제작된 강유전체 BST막의 기계.화학적인 연마 특성)

  • 서용진;박성우
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.53 no.3
    • /
    • pp.128-132
    • /
    • 2004
  • The perovskite ferroelectric materials of the PZT, SBT and BST series will attract much attention for application to ULSI devices. Among these materials, the BST ($Ba_0.6$$Sr_0.4$/$TiO_3$) is widely considered the most promising for use as an insulator in the capacitors of DRAMS beyond 1 Gbit and high density FRAMS. Especially, BST thin films have a good thermal-chemical stability, insulating effect and variety of Phases. However, BST thin films have problems of the aging effect and mismatch between the BST thin film and electrode. Also, due to the high defect density and surface roughness at grain boundarys and in the grains, which degrades the device performances. In order to overcome these weakness, we first applied the chemical mechanical polishing (CMP) process to the polishing of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface. BST ferroelectric film was fabricated by the sol-gel method. And then, we compared the surface characteristics before and after CMP process of BST films. We expect that our results will be useful promise of global planarization for FRAM application in the near future.

A study on the application of MEMS CMP with Micro-structure pad (마이크로 구조를 가진 패드를 이용한 MEMS CMP 적용에 관한 연구)

  • Park Sung-Min;Jeong Suk-Hoon;Jeong Moon-Ki;Park Boum-Young;Jeong Hea-Do
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2006.05a
    • /
    • pp.481-482
    • /
    • 2006
  • Chemical-mechanical polishing, the dominant technology for LSI planarization, is trending to play an important function in micro-electro mechanical systems (MEMS). However, MEMS CMP process has a couple of different characteristics in comparison to LSI device CMP since the feature size of MEMS is bigger than that of LSI devices. Preliminary CMP tests are performed to understand material removal rate (MRR) with blanket wafer under a couple of polishing pressure and velocity. Based on the blanket CMP data, this paper focuses on the consumable approach to enhance MEMS CMP by the adjustment of slurry and pad. As a mechanical tool, newly developed microstructured (MS) pad is applied to compare with conventional pad (IC 1400-k Nitta-Haas), which is fabricated by micro melding method of polyurethane. To understand the CMP characteristics in real time, in-situ friction force monitoring system was used. Finally, the topography change of poly-si MEMS structures is compared according to the pattern density, size and shape as polishing time goes on.

  • PDF