• 제목/요약/키워드: Boron Doping

검색결과 142건 처리시간 0.025초

Substitutional boron doping of carbon materials

  • Ha, Sumin;Choi, Go Bong;Hong, Seungki;Kim, Doo Won;Kim, Yoong Ahm
    • Carbon letters
    • /
    • 제27권
    • /
    • pp.1-11
    • /
    • 2018
  • A simple, but effective means of tailoring the physical and chemical properties of carbon materials should be secured. In this sense, chemical doping by incorporating boron or nitrogen into carbon materials has been examined as a powerful tool which provides distinctive advantages over exohedral doping. In this paper, we review recent results pertaining methods by which to introduce boron atoms into the $sp^2$ carbon lattice by means of high-temperature thermal diffusion, the properties induced by boron doping, and promising applications of this type of doping. We envisage that intrinsic boron doping will accelerate both scientific and industrial developments in the area of carbon science and technology in the future.

Improving the dielectric reliability using boron doping on solution-processed aluminum oxide

  • Kim, Hyunwoo;Lee, Nayoung;Choi, Byoungdeog
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
    • /
    • pp.411.1-411.1
    • /
    • 2016
  • In this study, we examined the effects of boron doping on the dielectric reliability of solution processed aluminum oxide ($Al_2O_3$). When boron is doped in aluminum oxide, the hysteresis reliability is improved from 0.5 to 0.4 V in comparison with the undoped aluminum oxide. And the accumulation capacitance is increased when boron was doped, which implying the reduction of the thickness of dielectric film. The improved dielectric reliability of boron-doped aluminum oxide is originated from the small ionic radius of boron ion and the stronger bonding strength between boron and oxygen ions than that of between aluminum and oxygen ions. Strong boron-oxygen ion bonding in aluminum oxide results dielectric film denser and thinner. The leakage current of aluminum oxide also reduced when boron was doped in aluminum oxide.

  • PDF

보론 도핑에 따른 CdS 박막 및 CdS/CdTe 태양전지 특성 (Effects of Boron Doping on Properties of CdS Films and Characteristics of CdS/CdTe Solar Cells)

  • 이재형;이호열;박용관
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제48권8호
    • /
    • pp.563-569
    • /
    • 1999
  • Boron doped CdS films were prepared by chemical bath deposition using boric acid$(H_3BO_3)$ as donor dopant source, and their electrical, optical properties were investigated as a function of doping concentration. In addition, effects of boron doping of CdS films on characteristics of CdS/CdTe solar cells were investigated. Boron doping highly decreased the resistivity and slightly increased optical band gap of CdS films. The lowest value of resistivity was $2 \Omega-cm \;at\; H_3BO_3/Cd(Ac)_2$ molar ratio of 0.1. For the molar ratio more than 0.1, however, the resistivity increased because of decreasing carrier concentration and mobility and showed similar value for undoped films. The photovoltaic characteristics of CdS/CdTe solar cells with boron doped CdS film improved due to the decrease of the conduction band-Fermi level energy gap of CdS films and the series resistance of solar cell.

  • PDF

Reverse annealing of boron doped polycrystalline silicon

  • Hong, Won-Eui;Ro, Jae-Sang
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.140-140
    • /
    • 2010
  • Non-mass analyzed ion shower doping (ISD) technique with a bucket-type ion source or mass-analyzed ion implantation with a ribbon beam-type has been used for source/drain doping, for LDD (lightly-doped-drain) formation, and for channel doping in fabrication of low-temperature poly-Si thin-film transistors (LTPS-TFT's). We reported an abnormal activation behavior in boron doped poly-Si where reverse annealing, the loss of electrically active boron concentration, was found in the temperature ranges between $400^{\circ}C$ and $650^{\circ}C$ using isochronal furnace annealing. We also reported reverse annealing behavior of sequential lateral solidification (SLS) poly-Si using isothermal rapid thermal annealing (RTA). We report here the importance of implantation conditions on the dopant activation. Through-doping conditions with higher energies and doses were intentionally chosen to understand reverse annealing behavior. We observed that the implantation condition plays a critical role on dopant activation. We found a certain implantation condition with which the sheet resistance is not changed at all upon activation annealing.

  • PDF

p-n 접합 형성을 위한 반도체 실리콘 웨이퍼 대기압 플라즈마 붕소 확산 가능성 연구 (Study of Boron Doping Feasibility with Atmospheric Pressure Plasma for p-n Junction Formation on Silicon Wafer for Semiconductor)

  • 김우재;이환희;권희태;신기원;양창실;권기청
    • 반도체디스플레이기술학회지
    • /
    • 제16권4호
    • /
    • pp.20-24
    • /
    • 2017
  • Currently, techniques mainly used in semiconductor impurity diffusion processes include furnace thermal diffusion, ion implantation, and vacuum plasma doping. However, there is a disadvantage that the process equipment and the unit cost are expensive. In this study, boron diffusion process using relatively inexpensive atmospheric plasma was conducted to solve this problem. With controlling parameters of Boron diffusion process, the doping characteristics were analyzed by using secondary ion mass spectrometry. As a result, the influence of each variable in the doping process was analyzed and the feasibility of atmospheric plasma doping was confirmed.

  • PDF

페놀수지로부터 유도된 Boron을 Doping한 polyacene계 부극의 특성 (Anode Properties of Boron Doped Polyacene Derived from Phenolic Resin)

  • 오원춘;박승혁;김범수
    • 분석과학
    • /
    • 제13권6호
    • /
    • pp.705-711
    • /
    • 2000
  • 리튬 이차전지용 부극 재료로 페놀 수지로 부터 유도된 boron을 doping한 polyacene에 구조적 특성, 표면 특성 및 전기적 특성을 연구하였다. Polyacene탄소질에 boron의 함유량을 각각 5%, 10%, 15%, 20% 첨가하여 특성화하였다. X-선 회절 결과에 따르면, 이들 시료들은 대표적인 무정형 탄소의 회절형태를 나타내었다. 표면 상태는 반구형의 표면상태를 가지고 있음을 SEM 결과로부터 알 수 있었다. 전지의 이온과 전자전달효과를 알아보기 위한 전기 화학적 충전/방전 특성과 임피던스 측정의 결과에 의하면, 10%와 15% boron이 첨가된 시료는 다소 우수한 특성을 나타내고 있다.

  • PDF

Activation for Boron Doped poly-Si films by Hydrogen doping

  • Yang, Joon-Young;Yu, S.H.;Oh, K.M.;Kim, J.I.;Yang, M.S.
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2002년도 International Meeting on Information Display
    • /
    • pp.171-174
    • /
    • 2002
  • When boron ions are doped into the poly-Si films, the hydrogen ions doped with boron ions compensate the defect sites and suppress to produce damage density. These samples can be easily activated by hydrogen doping at high acceleration voltage($V_{acc}$).

  • PDF

보론 도핑된 CdS 박막의 구조적 및 광학적 특성 (Effects of Boron Doping on the Structural and Optical Properties of CdS Thin Films)

  • Lee, Jae-Hyeong;Jung, Hak-Kee
    • 한국정보통신학회논문지
    • /
    • 제7권5호
    • /
    • pp.1032-1037
    • /
    • 2003
  • 보론 도핑된 CdS 박막을 chemical bath deposition법으로 증착하고, 도핑농도에 따른 박막의 구조적, 광학적 특성을 조사하였다. 보론 도핑된 CdS 박막은 XRD 분석 결과 (002)면 방향으로 강한 우선성장 방위를 가지며 육방정(hexagonal) 구조로 성장하였다 보론 도핑에 관계없이 모든 시편은 2.3 eV(녹색 발광) 및 1.6 eV(적색 발광) 부근에서 PL peak을 가지며, 도핑 농도가 증가함에 따라 피크 세기는 감소하였다. 보론 도핑에 따라 CdS 박막의 가시광 영역에서의 광투과율은 향상되었고, 밴드 갭은 증가하였다.

압력센서용 Boron이 첨가된 다결정 Silicom 박막의 제조 (Fabrication of Boron-Doped Polycrystalline Silicon Films for the Pressure Sensor Application)

  • 유광수;신광선
    • 한국결정성장학회지
    • /
    • 제3권1호
    • /
    • pp.59-65
    • /
    • 1993
  • 저항가열식 고진공증착기를 이용하여 압력센서로 사용될 수 있는 boron이 첨가된 다결정 silicon 박막이 제조되었다. 다결정 silicon 박막은 여러온도에서 quartz 기판위에 증착되었으며, boron은 BN 웨이퍼를 사용하여 확산로에서 doping하였다. $500^{\circ}C$의 기판온도에서 증착된 silicon 박막은 비정질이었으며, $600^{\circ}C$에서 결정을 보이기 시작하였고, $700^{\circ}C$에서 다결정이 되었다. $900^{\circ}C$에서 10분동안 boron을 dopion한 후, 박막의 비저항은 $0.1{\Omega}cm~1.5{\Omega}cm$의 범위에 있었으며, boron 밀도(농도)는 $9.4$\times$10^{15}~2.1$\times${10}^{17}cm^{-3}$이었고, 입자의 크기는 $107{\AA}~191{\AA}$이었다.

  • PDF

Boron doping with fiber laser and lamp furnace heat treatment for p-a-Si:H layer for n-type solar cells

  • Kim, S.C.;Yoon, K.C.;Yi, J.S.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.322-322
    • /
    • 2010
  • For boron doping on n-type silicon wafer, around $1,000^{\circ}C$ doping temperature is required, because of the relatively low solubility of boron in a crystalline silicon comparing to the phosphorus case. Boron doping by fiber laser annealing and lamp furnace heat treatment were carried out for the uniformly deposited p-a-Si:H layer. Since the uniformly deposited p-a-Si:H layer by cluster is highly needed to be doped with high temperature heat treatment. Amorphous silicon layer absorption range for fiber laser did not match well to be directly annealed. To improve the annealing effect, we introduce additional lamp furnace heat treatment. For p-a-Si:H layer with the ratio of $SiH_4:B_2H_6:H_2$=30:30:120, at $200^{\circ}C$, 50 W power, 0.2 Torr for 30 min. $20\;mm\;{\times}\;20\;mm$ size fiber laser cut wafers were activated by Q-switched fiber laser (1,064 nm) with different sets of power levels and periods, and for the lamp furnace annealing, $980^{\circ}C$ for 30 min heat treatment were implemented. To make the sheet resistance expectable and uniform as important processes for the $p^+$ layer on a polished n-type silicon wafer of (100) plane, the Q-switched fiber laser used. In consequence of comparing the results of lifetime measurement and sheet resistance relation, the fiber laser treatment showed the trade-offs between the lifetime and the sheet resistance as $100\;{\omega}/sq.$ and $11.8\;{\mu}s$ vs. $17\;{\omega}/sq.$ and $8.2\;{\mu}s$. Diode level device was made to confirm the electrical properties of these experimental results by measuring C-V(-F), I-V(-T) characteristics. Uniform and expectable boron heavy doped layers by fiber laser and lamp furnace are not only basic and essential conditions for the n-type crystalline silicon solar cell fabrication processes, but also the controllable doping concentration and depth can be established according to the deposition conditions of layers.

  • PDF