• Title/Summary/Keyword: 3-D Chip stacking

Search Result 30, Processing Time 0.024 seconds

Effective Cu Filling Method to TSV for 3-dimensional Si Chip Stacking (3차원 Si칩 실장을 위한 효과적인 Cu 충전 방법)

  • Hong, Sung Chul;Jung, Do Hyun;Jung, Jae Pil;Kim, Wonjoong
    • Korean Journal of Metals and Materials
    • /
    • v.50 no.2
    • /
    • pp.152-158
    • /
    • 2012
  • The effect of current waveform on Cu filling into TSV (through-silicon via) and the bottom-up ratio of Cu were investigated for three dimensional (3D) Si chip stacking. The TSV was prepared on an Si wafer by DRIE (deep reactive ion etching); and its diameter and depth were 30 and $60{\mu}m$, respectively. $SiO_2$, Ti and Au layers were coated as functional layers on the via wall. The current waveform was varied like a pulse, PPR (periodic pulse reverse) and 3-step PPR. As experimental results, the bottom-up ratio by the pulsed current decreased with increasing current density, and showed a value of 0.38 on average. The bottom-up ratio by the PPR current showed a value of 1.4 at a current density of $-5.85mA/cm^2$, and a value of 0.91 on average. The bottom-up ratio by the 3-step PPR current increased from 1.73 to 5.88 with time. The Cu filling by the 3-step PPR demonstrated a typical bottom-up filling, and gave a sound filling in a short time.

3D Packaging Technology Using Femto Laser (팸토초 레이저를 이용한 3차원 패키징 기술)

  • Kim, Ju-Seok;Sin, Yeong-Ui;Kim, Jong-Min;Han, Seong-Won
    • Proceedings of the KWS Conference
    • /
    • 2006.10a
    • /
    • pp.190-192
    • /
    • 2006
  • The 3-dimensional(3D) chip stacking technology is one of the leading technologies to realize a high density and high performance system in package(SIP). It could be found that it is the advanced process of through-hole via formation with the minimum damaged on the Si-wafer. Laser ablation is very effective method to penetrate through hole on the Si-wafer because it has the advantage that formed under $100{\mu}m$ diameter through-hole via without using a mask. In this paper, we studied the optimum method for a formation of through-hole via using femto-second laser heat sources. Furthermore, the processing parameters of the specimens were several conditions such as power of output, pulse repetition rate as well as irradiation method and time. And also the through-hole via form could be investigated and analyzed by microscope and analyzer.

  • PDF

The Unified UE Baseband Modem Hardware Platform Architecture for 3GPP Specifications

  • Kwon, Hyun-Il;Kim, Kyung-Ho;Lee, Chung-Yong
    • Journal of Communications and Networks
    • /
    • v.13 no.1
    • /
    • pp.70-76
    • /
    • 2011
  • This paper presents the unified user equipment (UE) baseband modulation and demodulation (modem) hardware platform architecture to support multiple radio access technologies. In particular, this platform selectively supports two systems; one is HEDGE system, which is the combination of third generation partnership project (3GPP) Release 7 high speed packet access evolution (HSPA+) and global system for mobile communication (GSM)/general packet radio service (GPRS)/enhanced data rates for GSM evolution (EDGE), while the other is LEDGE system, which is the combination of 3GPP Release 8 long term evolution (LTE) and GSM/GPRS/EDGE. This is done by applying the flexible pin multiplexing scheme to a hardwired pin mapping process. On the other hand, to provide stable connection, high portability, and high debugging ability, the stacking structure is employed. Here, a layered board architecture grouped by functional classifications is applied instead of the conventional one flatten board. Based on this proposed configuration, we provide a framework for the verification step in wireless cellular communications. Also, modem function/scenario test and inter-operability test with various base station equipments are verified by system requirements and scenarios.

Thermal Compression of Copper-to-Copper Direct Bonding by Copper films Electrodeposited at Low Temperature and High Current Density (저온 및 고전류밀도 조건에서 전기도금된 구리 박막 간의 열-압착 직접 접합)

  • Lee, Chae-Rin;Lee, Jin-Hyeon;Park, Gi-Mun;Yu, Bong-Yeong
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2018.06a
    • /
    • pp.102-102
    • /
    • 2018
  • Electronic industry had required the finer size and the higher performance of the device. Therefore, 3-D die stacking technology such as TSV (through silicon via) and micro-bump had been used. Moreover, by the development of the 3-D die stacking technology, 3-D structure such as chip to chip (c2c) and chip to wafer (c2w) had become practicable. These technologies led to the appearance of HBM (high bandwidth memory). HBM was type of the memory, which is composed of several stacked layers of the memory chips. Each memory chips were connected by TSV and micro-bump. Thus, HBM had lower RC delay and higher performance of data processing than the conventional memory. Moreover, due to the development of the IT industry such as, AI (artificial intelligence), IOT (internet of things), and VR (virtual reality), the lower pitch size and the higher density were required to micro-electronics. Particularly, to obtain the fine pitch, some of the method such as copper pillar, nickel diffusion barrier, and tin-silver or tin-silver-copper based bump had been utillized. TCB (thermal compression bonding) and reflow process (thermal aging) were conventional method to bond between tin-silver or tin-silver-copper caps in the temperature range of 200 to 300 degrees. However, because of tin overflow which caused by higher operating temperature than melting point of Tin ($232^{\circ}C$), there would be the danger of bump bridge failure in fine-pitch bonding. Furthermore, regulating the phase of IMC (intermetallic compound) which was located between nickel diffusion barrier and bump, had a lot of problems. For example, an excess of kirkendall void which provides site of brittle fracture occurs at IMC layer after reflow process. The essential solution to reduce the difficulty of bump bonding process is copper to copper direct bonding below $300^{\circ}C$. In this study, in order to improve the problem of bump bonding process, copper to copper direct bonding was performed below $300^{\circ}C$. The driving force of bonding was the self-annealing properties of electrodeposited Cu with high defect density. The self-annealing property originated in high defect density and non-equilibrium grain boundaries at the triple junction. The electrodeposited Cu at high current density and low bath temperature was fabricated by electroplating on copper deposited silicon wafer. The copper-copper bonding experiments was conducted using thermal pressing machine. The condition of investigation such as thermal parameter and pressure parameter were varied to acquire proper bonded specimens. The bonded interface was characterized by SEM (scanning electron microscope) and OM (optical microscope). The density of grain boundary and defects were examined by TEM (transmission electron microscopy).

  • PDF

Design and Implementation of the small PIFA with dual bandwidth using LTCC (이중대역 소형 LTCC 칩 PIFA의 설계 및 구현)

  • Nam, Sung-Soo;Kim, June-Hyong;Cho, Tae-June;Lee, Hong-Min
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.1 no.1
    • /
    • pp.47-52
    • /
    • 2008
  • In this paper, the small PIFA with dual bandwidth using LTCC is the proposed. The proposed PIFA is designed and fabricated for dual resonance bands (K-PCS and WiBro). It consists of two layers. The bottom layer shape PIFA has 120MHz bandwidth (1.727 ~ 1.847 GHz), it satisfied K-PCS. The top layer shape stacked element has 110MHz bandwidth (2.302 ~ 2.412 GHz), it satisfied WiBro. The top layer is stacked on the bottom layer for electric coupling. Maximum radiation gain of K-PCS, WiBro bands are 2.11 dBi, 3.71 dBi respectively. For miniaturization of the antenna structure, the PIFA using LTCC ( ${\varepsilon}_r\;=\;8$ ) chip is fabricated. The proposed PIFA shows the effect of SAR reduction also. A defect that is fabricated by stacking up the layers in the design of PIFA is complemented by fabricated in using LTCC chip.

  • PDF

Through Silicon Stack (TSS) Assembly for Wide IO Memory to Logic Devices Integration and Its Signal Integrity Challenges

  • Shin, Jaemin;Kim, Dong Wook
    • The Proceeding of the Korean Institute of Electromagnetic Engineering and Science
    • /
    • v.24 no.2
    • /
    • pp.51-57
    • /
    • 2013
  • The current expanding mobile markets incessantly demands small form factor, low power consumption and high aggregate throughput for silicon-level integration such as memory to logic system. One of emerging solution for meeting this high market demand is 3D through silicon stacking (TSS) technology. Main challenges to bring 3D TSS technology to the volume production level are establishing a cost effective supply chain and building a reliable manufacturing processes. In addition, this technology inherently help increase number of IOs and shorten interconnect length. With those benefits, however, potential signal and power integrity risks are also elevated; increase in PDN inductance, channel loss on substrate, crosstalk and parasitic capacitance. This paper will report recent progress of wide IO memory to high count TSV logic device assembly development work. 28 nm node TSV test vehicles were fabricated by the foundry and assembled. Successful integration of memory wide IO chip with less than a millimeter package thickness form factor was achieved. For this successful integration, we discussed potential signal and power integrity challenges. This report demonstrated functional wide IO memory to 28 nm logic device assembly using 3D package architecture with such a thin form factor.

TSV Filling Technology using Cu Electrodeposition (Cu 전해도금을 이용한 TSV 충전 기술)

  • Kee, Se-Ho;Shin, Ji-Oh;Jung, Il-Ho;Kim, Won-Joong;Jung, Jae-Pil
    • Journal of Welding and Joining
    • /
    • v.32 no.3
    • /
    • pp.11-18
    • /
    • 2014
  • TSV(through silicon via) filling technology is making a hole in Si wafer and electrically connecting technique between front and back of Si die by filling with conductive metal. This technology allows that a three-dimensionally connected Si die can make without a large number of wire-bonding. These TSV technologies require various engineering skills such as forming a via hole, forming a functional thin film, filling a conductive metal, polishing a wafer, chip stacking and TSV reliability analysis. This paper addresses the TSV filling using Cu electrodeposition. The impact of plating conditions with additives and current density on electrodeposition will be considered. There are additives such as accelerator, inhibitor, leveler, etc. suitably controlling the amount of the additive is important. Also, in order to fill conductive material in whole TSV hole, current wave forms such as PR(pulse reverse), PPR(periodic pulse reverse) are used. This study about semiconductor packaging will be able to contribute to the commercialization of 3D TSV technology.

Warpage and Stress Simulation of Bonding Process-Induced Deformation for 3D Package Using TSV Technology (TSV 를 이용한 3 차원 적층 패키지의 본딩 공정에 의한 휨 현상 및 응력 해석)

  • Lee, Haeng-Soo;Kim, Kyoung-Ho;Choa, Sung-Hoon
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.29 no.5
    • /
    • pp.563-571
    • /
    • 2012
  • In 3D integration package using TSV technology, bonding is the core technology for stacking and interconnecting the chips or wafers. During bonding process, however, warpage and high stress are introduced, and will lead to the misalignment problem between two chips being bonded and failure of the chips. In this paper, a finite element approach is used to predict the warpages and stresses during the bonding process. In particular, in-plane deformation which directly affects the bonding misalignment is closely analyzed. Three types of bonding technology, which are Sn-Ag solder bonding, Cu-Cu direct bonding and SiO2 direct bonding, are compared. Numerical analysis indicates that warpage and stress are accumulated and become larger for each bonding step. In-plane deformation is much larger than out-of-plane deformation during bonding process. Cu-Cu bonding shows the largest warpage, while SiO2 direct bonding shows the smallest warpage. For stress, Sn-Ag solder bonding shows the largest stress, while Cu-Cu bonding shows the smallest. The stress is mainly concentrated at the interface between the via hole and silicon chip or via hole and bonding area. Misalignment induced during Cu-Cu and Sn-Ag solder bonding is equal to or larger than the size of via diameter, therefore should be reduced by lowering bonding temperature and proper selection of package materials.

High-Speed Cu Filling into TSV and Non-PR Bumping for 3D Chip Packaging (3차원 실장용 TSV 고속 Cu 충전 및 Non-PR 범핑)

  • Hong, Sung-Chul;Kim, Won-Joong;Jung, Jae-Pil
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.18 no.4
    • /
    • pp.49-53
    • /
    • 2011
  • High-speed Cu filling into a through-silicon-via (TSV) and simplification of bumping process by electroplating for three dimensional stacking of Si dice were investigated. The TSV was prepared on a Si wafer by deep reactive ion etching, and $SiO_2$, Ti and Au layers were coated as functional layers on the via wall. In order to increase the filling rate of Cu into the via, a periodic-pulse-reverse wave current was applied to the Si chip during electroplating. In the bumping process, Sn-3.5Ag bumping was performed on the Cu plugs without lithography process. After electroplating, the cross sections of the vias and appearance of the bumps were observed by using a field emission scanning electron microscope. As a result, voids in the Cu-plugs were produced by via blocking around via opening and at the middle of the via when the vias were plated for 60 min at -9.66 $mA/cm^2$ and -7.71 $mA/cm^2$, respectively. The Cu plug with a void or a defect led to the production of imperfect Sn-Ag bump which was formed on the Cu-plug.

Characterization and observation of Cu-Cu Thermo-Compression Bonding using 4-point bending test system (4-point bending test system을 이용한 Cu-Cu 열 압착 접합 특성 평가)

  • Kim, Jae-Won;Kim, Kwang-Seop;Lee, Hak-Joo;Kim, Hee-Yeon;Park, Young-Bae;Hyun, Seung-Min
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.18 no.4
    • /
    • pp.11-18
    • /
    • 2011
  • The quantitative interfacial adhesion energy of the Cu-Cu direct bonding layers was evaluated in terms of the bonding temperature and Ar+$H_2$ plasma treatment on Cu surface by using a 4-point bending test. The interfacial adhesion energy and bonding quality depend on increased bonding temperature and post-annealing temperature. With increasing bonding temperature from $250^{\circ}C$ to $350^{\circ}C$, the interfacial adhesion energy increase from $1.38{\pm}1.06$ $J/m^2$ to $10.36{\pm}1.01$ $J/m^2$. The Ar+$H_2$ plasma treatment on Cu surface drastically increase the interfacial adhesion energy form $1.38{\pm}1.06$ $J/m^2$ to $6.59{\pm}0.03$ $J/m^2$. The plasma pre-treatment successfully reduces processing temperature of Cu to Cu direct bonding.