• Title/Summary/Keyword: 내장 자체 테스트

Search Result 40, Processing Time 0.027 seconds

Implementation of Built-In Self Test Using IEEE 1149.1 (IEEE 1149.1을 이용한 내장된 자체 테스트 기법의 구현)

  • Park, Jae-Heung;Chang, Hoon;Song, Oh-Young
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.25 no.12A
    • /
    • pp.1912-1923
    • /
    • 2000
  • 본 논문에서는 내장된 자체 테스트(BIST: Built-In Self Test) 기법의 구현에 관해 기술한다. 내장된 자체 테스트 기법이 적용된 칩은 영상 처리 및 3차원 그래픽스용 부동 소수점 DSP 코어인 FLOVA이다. 내장된 로직 자체 테스트 기법은 FLOVA의 부동 소수점 연산 데이터 패스에 적용하였으며, 내장된 메모리 자체 테스트 기법은 FLOVA에 내장된 데이터 메모리와 프로그램 메모리에 적용하였다. 그리고, 기판 수준의 테스팅을 지원하기 위한 표준안인 경계 주사 기법(IEEE 1149.1)을 구현하였다. 특히, 내장된 자체 테스트 로직을 제어할 수 있도록 경계주사 기법을 확장하여 적용하였다.

  • PDF

A Study on Implementation of Boundary SCAN and BIST for MDSP (MDSP의 경계 주사 기법 및 자체 테스트 기법 구현에 관한 연구)

  • Yang, Sun-Woong;Chang, Hoon;Song, Oh-Young
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.25 no.11B
    • /
    • pp.1957-1965
    • /
    • 2000
  • 본 논문에서는 휴대 멀티미디어 응용을 위한 MDSP(Multimedia Fixed Point DSP) 칩의 내장 메모리 테스트와 기판 수준의 테스트를 지원하기 위해 내장 메모리 테스트를 위한 자체 테스트 기법, 기판 수준의 테스트 지원 및 내장 메모리를 위한 자체 테스트 회로를 제어하기 위한 경계 주사 기법을 구현하였다. 본 논문에서 구현한 기법들은 Verilog HDL을 이용하여 회로들을 설계하였으며, Synopsys 툴과 현대 heb60 라이브러리를 이용하여 합성하였다. 그리고 회로 검증을 위한 시뮬레이터는 Cadence사의 VerilogXL을 사용하였다.

  • PDF

Reduction of Hardware Overhead for Test Pattern Generation in BIST (내장형 자체 테스트 패턴 생성을 위한 하드웨어 오버헤드 축소)

  • 김현돈;신용승;김용준;강성호
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.40 no.7
    • /
    • pp.526-531
    • /
    • 2003
  • Recently, many BIST(Built-in Self Test) schemes have been researched to reduce test time and hardware. But, most BIST schemes about pattern generation are for deterministic pattern generation. In this paper a new pseudo-random BIST scheme is provided to reduce the existing test hardware and keep a reasonable length of test time. Theoretical study demonstrates the possibility of the reduction of the hardware for pseudo-random test with some explanations and examples. Also the experimental results show that in the proposed test scheme the hardware for the pseudo-random test is much less than in the previous scheme and provide comparison of test time between the proposed scheme and the current one.

Design of Embedded Memory Test System (내장 메모리 테스트 시스템 설계)

  • Kim, Ji-Hoo;Youn, Dae-Han;Song, Oh-Young
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2002.04b
    • /
    • pp.1631-1634
    • /
    • 2002
  • 본 논문에서는 PC상에서 내장 메모리를 테스트 할 수 있는 테스트 시스템을 구현하였다. 테스트상으로는 Synchronous DRAM을 사용하였고 내장 자체 테스트 회로에 10N March C 알고리즘을 적용, DSRAM, SRAM을 제어하는 테스트 시스템 제어기를 설계하였다. 본 테스트 시스템은 메모리 테스트 검증을 고가의 테스트 장비 없이 용이하게 하도록 설계되었다.

  • PDF

A Built-in Self-Test of Static Parameters for Analog-to-Digital Converters (아날로그-디지털 변환기의 정적 파라미터 테스트를 위한 내장 자체 테스트 방법)

  • Kim, In-Cheol;Jang, Jae-Won;Kang, Sung-Ho
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.49 no.5
    • /
    • pp.30-36
    • /
    • 2012
  • A new BIST(Built-In Self-Test) scheme to test ADC(Analog-to-Digital Converter) with a transition detector is proposed. The proposed BIST is able to replaces histogram method, the most popular approach in static testing of ADC. With a ramp signal as an input test stimulus, the proposed BIST calculates ADC's static parameters such as offset, gain, INL(Integral Non-Linearity) and DNL(Differential Non-Linearity). The three detectors in the proposed BIST can deal with a transient zone problem, a phenomenon due to random noise in real test environments and are cost efficient at various acceptable ranges determined as a test spec. The simulation results validate that our method performs accurate static test and show the reduction of the hardware overhead.

Logic Built-In Self Test Based on Clustered Pattern Generation (패턴 집단 생성 방식을 사용한 내장형 자체 테스트 기법)

  • Kang, Yong-Suk;Kim, Hyun-Don;Seo, Il-Suk;Kang, Sung-Ho
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.39 no.7
    • /
    • pp.81-88
    • /
    • 2002
  • A new pattern generator of BIST based on the pattern clustering is developed. The proposed technique embeds a pre-computed deterministic test set with low hardware overhead for test-per-clock environments. The test control logic is simple and can be synthesized automatically. Experimental results for the ISCAS benchmark circuits show that the effectiveness of the new pattern generator compared to the previous methods.

A Clustered Reconfigurable Interconnection Network BIST Based on Signal Probabilities of Deterministic Test Sets (결정론적 테스트 세트의 신호확률에 기반을 둔 clustered reconfigurable interconnection network 내장된 자체 테스트 기법)

  • Song Dong-Sup;Kang Sungho
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.42 no.12
    • /
    • pp.79-90
    • /
    • 2005
  • In this paper, we propose a new clustered reconfigurable interconnect network (CRIN) BIST to improve the embedding probabilities of random-pattern-resistant-patterns. The proposed method uses a scan-cell reordering technique based on the signal probabilities of given test cubes and specific hardware blocks that increases the embedding probabilities of care bit clustered scan chain test cubes. We have developed a simulated annealing based algorithm that maximizes the embedding probabilities of scan chain test cubes to reorder scan cells, and an iterative algorithm for synthesizing the CRIN hardware. Experimental results demonstrate that the proposed CRIN BIST technique achieves complete fault coverage with lower storage requirement and shorter testing time in comparison with the conventional methods.

Programmable Memory BIST for Embedded Memory (내장 메모리를 위한 프로그램 가능한 자체 테스트)

  • Hong, Won-Gi;Chang, Hoon
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.44 no.12
    • /
    • pp.61-70
    • /
    • 2007
  • The density of Memory has been increased by great challenge for memory technology. Therefore, elements of memory become more smaller than before and the sensitivity to faults increases. As a result of these changes, memory testing becomes more complex. In addition, as the number of storage elements per chip increases, the test cost becomes more remarkable as the cost per transistor drops. Recent development in system-on-chip (SOC) technology makes it possible to incorporate large embedded memories into a chip. However, it also complicates the test process, since usually the embedded memories cannot be controlled from the external environment. Proposed design doesn't need controls from outside environment, because it integrates into memory. In general, there are a variety of memory modules in SOC, and it is not possible to test all of them with a single algorithm. Thus, the proposed scheme supports the various memory testing process. Moreover, it is able to At-Speed test in a memory module. consequently, the proposed is more efficient in terms of test cost and test data to be applied.

ARM Professor-based programmable BIST for Embedded Memory in SoC (SoC 내장 메모리를 위한 ARM 프로세서 기반의 프로그래머블 BIST)

  • Lee, Min-Ho;Hong, Won-Gi;Song, Jwa-Hee;Chang, Hoon
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.35 no.6
    • /
    • pp.284-292
    • /
    • 2008
  • The density of Memory has been increased by great challenge for memory technology; therefore, elements of memory become more smaller than before and the sensitivity to faults increases. As a result of these changes, memory testing becomes more complex. In addition, as the number of storage elements per chip increases, the test cost becomes more remarkable as the cost per transistor drops. Recent development in system-on-chip(SoC) technology makes it possible to incorporate large embedded memories into a chip. However, it also complicates the test process, since usually the embedded memories cannot be controlled from the external environment. We present a ARM processor-programmable built-in self-test(BIST) scheme suitable for embedded memory testing in the SoC environment. The proposed BIST circuit can be programmed vis an on-chip microprocessor.

Implementation of March Algorithm for Embedded Memory Test using IEEE 1149.1 (IEEE 1149.1을 이용한 March 알고리듬의 내장형 자체 테스트 구현)

  • Yang, Sun-Woong;Park, Jae-Heung;Chang, Hoon
    • Journal of KIISE:Computing Practices and Letters
    • /
    • v.7 no.1
    • /
    • pp.99-107
    • /
    • 2001
  • In this paper, we implemented memory BIST circuit based on ION march algorithm, and the IEEE 1149.1 has been designed as main controlJer for embedded memory testing. The implemented memory BIST can be used for word-oriented memory since it adopts background data, this is avaliable for word-oriented memory. It is able to detect all stuck-at faults, transition faults, coupling faults, and address decoder faults in the word-oriented memory. Memory BIST and IEEE 1149.1 are described at RTL level in Verilog-HDL, and synthesized with the Synopsys. The synthesized circuits are fully velified using VerilogXL and memory cell generated by memory compiler.

  • PDF