Browse > Article

A Clustered Reconfigurable Interconnection Network BIST Based on Signal Probabilities of Deterministic Test Sets  

Song Dong-Sup (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University)
Kang Sungho (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University)
Publication Information
Abstract
In this paper, we propose a new clustered reconfigurable interconnect network (CRIN) BIST to improve the embedding probabilities of random-pattern-resistant-patterns. The proposed method uses a scan-cell reordering technique based on the signal probabilities of given test cubes and specific hardware blocks that increases the embedding probabilities of care bit clustered scan chain test cubes. We have developed a simulated annealing based algorithm that maximizes the embedding probabilities of scan chain test cubes to reorder scan cells, and an iterative algorithm for synthesizing the CRIN hardware. Experimental results demonstrate that the proposed CRIN BIST technique achieves complete fault coverage with lower storage requirement and shorter testing time in comparison with the conventional methods.
Keywords
Deterministic Logic BIST; embedded core testing;
Citations & Related Records
연도 인용수 순위
  • Reference
1 L. Li and K. Chakrabarty, 'Test Set Embedding for Deterministic BIST Using Reconfigurable Interconnect Network,' IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 23, pp. 1289-1305, Sept. 2004   DOI   ScienceOn
2 G. Kiefer and H. J. Wunderlich, 'Using BIST control for pattern generation,' Proc. of IEEE Int. Test Conf., pp. 347-355, 1997   DOI
3 L. Li and K. Chakrabarty, 'Deterministic BIST Based on a Reconfigurable Interconnection Network,' Proc. of IEEE Int. Test Conf., pp.460-496, 2003   DOI
4 C. V. Krishna, A. Jas, and N. A. Touba, 'Test vector encoding using partial LFSR reseeding,' Proc. of IEEE Int. Test Conf., pp. 885-893, 2001   DOI
5 E. Kalligeros, X. Kavousianos, and D. Nikolos, 'A ROMless LFSR reseeding scheme for scan-based BIST,' Proc. of 11th Asian Test Symp., pp. 206-211, 2002   DOI
6 H. S. Kim, Y. J. Kim and S. Kang., 'Test-Decompression Mechanism Using a Variable-Length Multiple-Polynomial LFSR,' IEEE Trans. VISI Systems, vol. 11, pp. 687-690, Aug. 2003   DOI   ScienceOn
7 H. J. Wunderlich and G. Kiefer, 'Bit-flipping BIST,' Proc. of IEEE/ACM Int. Conf. Computer-Aided Design, pp. 337-343, 1996   DOI
8 A. J. Briers and K. A. E. Totton, 'Random Pattern Testability by Fast Fault Simulation,' Proc. of IEEE Int. Test Conf., pp. 274-281, 1983
9 Y. Savaria, M. Youssef, B. Kaminska, and M. Koudil, 'Automatic Test Point Insertion for Pseudo-Random Testing,' Proc. of IEEE Int. Symp. Circuit and Systems, pp. 1960-1963, 1991   DOI
10 J. A. Waicukauski, E. Lindbloom, E. B. Eichelberger, and O. P. Forlenza 'A Method for Generating Weighted Random Patterns,' IBM Journal of Research and Development, vol. 33, pp. 149-161, Mar. 1989   DOI   ScienceOn
11 H. S. Kim, J. K. Lee, and S. Kang, 'A Heuristic for Multiple Weight Set Generation,' Proc. of IEEE Int. Test Conf., pp. 513-514, 2001   DOI
12 S. Hellebrand, J. Rajski, S. Tarnick, and B. Courtois, 'Built-in test for circuits with scan based on reseeding of multiple-poly-nomial linear feedback shift registers,' IEEE Trans. Computers, vol. 44, pp. 223-233, Feb. 1995   DOI   ScienceOn
13 V. D. Agrawal, C. R. Kime, and K. K. Saluja, 'A tutorial on built-in self-test part 1: applications,' IEEE Design & Test of Computers, vol. 10. pp. 69-77, June 1993   DOI   ScienceOn
14 P. H. Bardell, W. Mcanney, and J. Savir, Built-in Test for VLSI: Pseudo-Random Technique, New York: Wiley, 1987
15 V. D. Agrawal, C. R. Kime, and K. K. Saluja, 'A tutorial on built-in self-test part 1: principles,' IEEE Design & Test of Computers, vol. 10, pp. 73-82, Mar. 1993   DOI   ScienceOn