• Title/Summary/Keyword: y-branch

Search Result 5,674, Processing Time 0.038 seconds

Performance Analysis on Soft Decision Decoding using Erasure Technique (COFDM 시스템에서 채널상태정보를 이용한 Viterbi 디코더)

  • 이원철
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.24 no.10A
    • /
    • pp.1563-1570
    • /
    • 1999
  • This paper relates to the soft decision method with erasure technique in digital terrestrial television broadcasting system. The proposed decoder use the CSI derived from using the pilots in receiver. The active real(I) and imaginary(Q) data are transferred to the branch metric calculation block that decides the Euclidean distance for the soft decision decoding and also the estimated CSI values are transferred to the same block. After calculating the Euclidean distance for the soft decision decoding, the Euclidean distance of branch metric is multiplied by CSI. To do so, new branch metric values that consider each carrier state information are obtained. We simulated this method in better performance of about 0.15dB to 0.17dB and 2.2dB to 2.9dB in Rayleigh channel than that of the conventional soft decision Viterbi decoding with or without bit interleaver where the constellation is QPSK, 16-QAM and 64-QAM.

  • PDF

Branch Prediction with Speculative History and Its Effective Recovery Method (분기 정보의 추측적 사용과 효율적 복구 기법)

  • Kwak, Jong-Wook
    • The KIPS Transactions:PartA
    • /
    • v.15A no.4
    • /
    • pp.217-226
    • /
    • 2008
  • Branch prediction accuracy is critical for system performance in modern microprocessor architectures. The use of speculative update branch history provides substantial accuracy improvement in branch prediction. However, speculative update branch history is the information about uncommitted branch instruction and thus it may hurts program correctness, in case of miss-speculative execution. Therefore, speculative update branch history requires suitable recovery mechanisms to provide program correctness as well as performance improvement. In this paper, we propose recovery logics for speculative update branch history. The proposed solutions are recovery logics for both global history and local history. In simulation results, our solution provides performance improvement up to 5.64%. In addition, it guarantees the program correctness and almost 90% of additional hardware overhead is reduced, compared to previous works.

Exploring Branch Target Buffer Architecture on Intel Processors with Performance Monitor Counter (Performance Monitor Counter를 이용한 Intel Processor의 Branch Target Buffer 구조 탐구)

  • Jeong, Juhye;Kim, Han-Yee;Suh, Taeweon
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2019.10a
    • /
    • pp.24-27
    • /
    • 2019
  • Meltdown, Spectre 등 하드웨어의 취약점을 이용하는 side-channel 공격이 주목을 받으면서 주요 microarchitecture 구조에 대한 철저한 이해의 필요성이 커지고 있다. 현대 마이크로프로세서에서 branch prediction이 갖는 중요성에도 불구하고 세부적인 사항은 거의 알려지지 않았으며 잠재적 공격에 대비하기 위해서는 반드시 현재 드러난 정보 이상의 detail을 탐구하기 위한 시도가 필요하다. 본 연구에서는 Performance Monitor Counter를 이용해 branch 명령어를 포함한 프로그램이 실행되는 동안 Branch Prediction Unit에 의한 misprediction 이벤트가 발생하는 횟수를 체크하여 인텔 하스웰, 스카이레이크에서 사용되는 branch target buffer의 구조를 파악하기 위한 실험을 수행하였다. 연구를 통해 해당 프로세서의 BTB의 size, number of way를 추정할 수 있었다.

Prevalence of parasite infection of poultry in Chonbuk area (가금의 장내 기생충 감염실태)

  • Yang Hong-Ji;Seo Chang-Sub;Yoon Yea-Baek;Park Tae-Wook;Choi Eun-Young;Kim Youn-Tae
    • Journal of the korean veterinary medical association
    • /
    • v.30 no.11
    • /
    • pp.679-688
    • /
    • 1994
  • In order to monitor the parasites, fecal samples were taken from chicken (n=1,000), turkey(n=157), helmeted guineafowl(n=149), pheasant(n=190) and duck(n=190) in Chonbuk area. The identification of the parasites were determined by the fecal examination us

  • PDF

Stubbed Branch-Line Compact Balun (스터브를 이용한 소형화된 분기선로 발룬)

  • Park, Myun-Joo;Lee, Byung-Je
    • The Journal of The Korea Institute of Intelligent Transport Systems
    • /
    • v.6 no.2
    • /
    • pp.107-112
    • /
    • 2007
  • A new impedance transforming balun scheme is presented based on the branch-line structure with stubs on the vertical branches. The stubbed vertical branch eliminates the unwanted even mode output and provides only the balanced output of the balun with opposite phase. Also, the use of stubs reduces the branch lengths by two times the stub length, which is useful for the compact balun design.

  • PDF

Limit Load Solutions for Piping Branch Junctions with local wall-thinning under Internal Pressure (감육이 존재하고 내압을 받는 T 분기관의 한계하중 평가식)

  • Ryu, Kang-Mook;Kim, Yun-Jae;Lee, Kuk-Hee;Park, Chi-Yong;Lee, Sung-Ho;Kim, Tae-Ryong
    • Proceedings of the KSME Conference
    • /
    • 2007.05a
    • /
    • pp.1813-1817
    • /
    • 2007
  • The present work presents plastic limit load solutions for piping branch junctions with local wall-thinning, based on detailed three-dimensional (3-D) and small strain FE limit analyses using elastic-perfectly plastic materials. Three types of loading are considered; internal pressure, in-plane bending on the branch pipe and in-plane bending on the run pipe. The wall-tinning located on variable area of the piping branch junction is considered. A wide range of piping branch junction and wall-thinning geometries are considered. Comparison of the proposed solutions with FE results shows good agreement

  • PDF

A Hardware Scheme to Reduce the Branch Penalty in Pipelined RISC Processors (파이프라인 RISC 프로세서에서 분기지연을 감소시키는 하드웨어 구조)

  • 조종현;조영일
    • Journal of the Korean Institute of Telematics and Electronics B
    • /
    • v.32B no.5
    • /
    • pp.702-709
    • /
    • 1995
  • Conditional branch instructions are a major obstacle to the increasing of RISC processor performance, because they can break the smooth flow of instructions; the issuing of instructions after a branch instruction must often wait until the condition is resolved. This paper proposes a hardware scheme which has a duplicated fetching logic to reduce the penalty imposed by conditional branch instructions. The proposed shceme has a buffer to maintain states of processor, which supports the precise interrupt. We make use of two code segments to test the performance and their results were compared with those of the delayed branch. We got the result that the proposed scheme reduces the branch penalty extremely.

  • PDF

Radiographic and biochemical changes in dogs with experimental portal vein branch ligation (실험적 간문맥 분지 결찰 개에서 방사선학적 및 혈청화학적 변화)

  • Lee, Young-won;Yoon, Jung-hee
    • Korean Journal of Veterinary Research
    • /
    • v.38 no.3
    • /
    • pp.674-678
    • /
    • 1998
  • Radiograph and serum activities were investigated in six dogs with experimental portal vein branch ligation. Operative mesenteric portography showed the incomplete portal vein circulation due to ligation of portal vein branch. Several serum enzyme activities were measured after portal vein branch ligation. Albumin and total protein were decreased following the time. Others were increased. In microscopic findings, hepatocellular necrosis and haemorrhage were identified in midzone and centrilobular zone. And reverse lobulation pattern was found in dogs with portal vein branch ligation.

  • PDF

Seroprevalence of porcine reproductive and respiratory syndrom(PRRS) in Dangjin (당진지역 돼지생식기호흡기증후군(PRRS) 항체가 조사)

  • Kong, Shin-Koog;Lee, Gun-Taek;Lee, Kwan-Bok;Hong, Jun-Pyo;Kang, Soo-Jeong;Moon, Sun-Hwa
    • Korean Journal of Veterinary Service
    • /
    • v.26 no.3
    • /
    • pp.227-231
    • /
    • 2003
  • The purpose of this study was sero-epidemiological survey of porcine reproductive and respiratory syndrom(PRRS) in Dangjin area. 411 samples from 26 pig farms were analyzed by enzyme linked immunosorbant assay (ELISA). The data indicate that 66% of the pigs and 92% of the farms showed sero-positives to the PRRS viruc. Sows showed 58% of sero-positive rate and fattening pigs showed 85% of seropositive rate. The rate of sero-positive in boars was 63%. No significant regional differences were detected in sero-epidemiological survey.

Flow Characteristics of Non-Newtonian Fluids in the Stenosed Branch Tubes (협착이 발생된 분기관내 비뉴턴유체의 유동특성 연구)

  • Suh, S.H.;Yoo, S.S.;Roh, H.W.
    • Korean Journal of Air-Conditioning and Refrigeration Engineering
    • /
    • v.8 no.3
    • /
    • pp.307-316
    • /
    • 1996
  • The objective of present study is to obtain information on the stenosis effects in the branch tubes for industrial piping system and atherogenesis processing in human arteries. Numerical solutions for flows of Newtonian and non-Newtonian fluids in the branch tubes are obtained by the finite volume method. Centerline velocity and pressure along the bifurcated tubes for water, blood and aqueous Separan AP-273 solution are computed and the numerical results of blood and the Separan solution are compared with those of water. Flow phenomena in the stenosed branch tubes are discussed extensively and predicted effectively. The effects of stenosis on the pressure loss coefficients are determined.

  • PDF