• Title/Summary/Keyword: verilog HDL

Search Result 415, Processing Time 0.037 seconds

A Design of Radix-2 SRT Floating-Point Divider Unit using ]Redundant Binary Number System (Redundant Binary 수치계를 이용한 radix-2 SRT부동 소수점 제산기 유닛 설계)

  • 이종남;신경욱
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.5 no.3
    • /
    • pp.517-524
    • /
    • 2001
  • This paper describes a design of radix-2 SRT divider unit, which supports IEEE-754 floating-point standard, using redundant binary number system (RBNS). With the RBNS, the partial quotient decision logic can operate about 20-% faster, as well as can be implemented with a simple hardware when compared to the conventional methods based on two's complement arithmetic. By using a new redundant binary adder proposed in this paper, the mantissa divider is efficiently implemented, thus resulting in about 20% smaller area than other works. The divider unit supports double precision format, five exceptions and four rounding modes. It was verified with Verilog HDL and Verilog-XL.

  • PDF

Implementation of a Verification Environment using Layered Testbench (계층화된 테스트벤치를 이용한 검증 환경 구현)

  • Oh, Young-Jin;Song, Gi-Yong
    • Journal of the Institute of Convergence Signal Processing
    • /
    • v.12 no.2
    • /
    • pp.145-149
    • /
    • 2011
  • Recently, as the design of a system gets larger and more complex, functional verification method based on system-level becomes more important. The verification of a functional block mainly uses BFM(bus functional model). The larger the burden on functional verification is, the more the importance of configuring a proper verification environment increases rapidly. SystemVerilog unifies hardware design languages and verification languages in the form of extensions to the Veri log HDL. The processing of design description, function simulation and verification using same language has many advantages in system development. In this paper, we design DUT that is composed of AMBA bus and function blocks using SystemVerilog and verify the function of DUT in verification environment using layered testbench. Adaptive FIR filter and Booth's multiplier are chosen as function blocks. We confirm that verification environment can be reused through a minor adaptation of interface to verify functions of other DUT.

Design of an Optimal RSA Crypto-processor for Embedded Systems (내장형 시스템을 위한 최적화된 RSA 암호화 프로세서 설계)

  • 허석원;김문경;이용석
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.29 no.4A
    • /
    • pp.447-457
    • /
    • 2004
  • This paper proposes a RSA crypto-processor for embedded systems. The architecture of the RSA crypto-processor should be used relying on Big Montgomery algorithm, and is supported by configurable bit size. The RSA crypto-processor includes a RSA control signal generator, an optimal Big Montgomery processor(adder, multiplier). We use diverse arithmetic unit (adder, multiplier) algorithm. After we compared the various results, we selected the optimal arithmetic unit which can be connected with ARM core-processor. The RSA crypto-processor was implemented with Verilog HDL with top-down methodology, and it was verified by C language and Cadence Verilog-XL. The verified models were synthesized with a Hynix 0.25${\mu}{\textrm}{m}$, CMOS standard cell library while using Synopsys Design Compiler. The RSA crypto-processor can operate at a clock speed of 51 MHz in this worst case conditions of 2.7V, 10$0^{\circ}C$ and has about 36,639 gates.

A Study on Efficient Test Methodologies on Dual-port Embedded Memories (내장된 이중-포트 메모리의 효율적인 테스트 방법에 관한 연구)

  • Han, Jae-Cheon;Yang, Sun-Woong;Jin, Myoung-Gu;Chang, Hoon
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.36C no.8
    • /
    • pp.22-34
    • /
    • 1999
  • In this paper, an efficient test algorithm for embedded dual-port memories is presented. The proposed test algorithm can be used to test embedded dual-port memories faster than the conventional multi-port test algorithms and can be used to completely detect stuck-at faults, transition faults and coupling faults which are major target faults in embedded memories. Also, in this work, BIST which performs the proposed memory testing algorithm is designed using Verilog-HDL, and simulation and synthesis for BIST are performed using Cadence Verilog-XL and Synopsys Design-Analyzer. It has been shown that the proposed test algorithm has high efficiency through experiments on various size of embedded memories.

  • PDF

A Design of Modified Euclidean Algorithm using Finite State Machine (FSM을 이용한 수정된 유클리드 알고리즘 설계)

  • Kang, Sung-Jin
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.11 no.6
    • /
    • pp.2202-2206
    • /
    • 2010
  • In this paper, an architecture for modified Euclidean(ME) algorithm is proposed, which is using finite-state machine(FSM) instead of degree computation. Since the proposed architecture does not have degree computation circuits, it is possible to reduce the hardware complexity of RS(Reed-Solomon) decoder, so that a very high-speed RS decoder can be implemented. RS(255,239) decoder with the proposed architecture is implemented using Verilog-HDL and requires about 13% fewer gate counts than conventional one.

Implementation of Image Contrast Enhancement Module Using Verilog HDL (Verilog HDL을 이용한 영상 콘트라스트 향상 모듈의 구현)

  • Lim, Hae-Keun;Ko, Kwang-Cheol
    • Proceedings of the KIEE Conference
    • /
    • 2005.07d
    • /
    • pp.3055-3057
    • /
    • 2005
  • 영상처리는 크게 두 가지의 목적을 가지고 이루어지게 된다. 첫 번째는 저화질 영상을 고화질로 바꾸거나 문자의 가독성을 높이는 등의 인간이 이해하기 쉽게 영상정보를 개선하는 것이고. 두 번째는 압축 및 변환을 통해 컴퓨터가 빠르고 효율적으로 인식할 수 있게 변환하는 것이다. 영상처리의 목적 중 첫 번째인 인간이 이해하기 쉽게 영상정보를 개선하는 분야를 영상향상(Image Enhancement)이라고 부른다. 본 논문에서 기존의 소프트웨어로 구현한 영상향상 분야를 FPGA를 이용하여 구현함으로써 실시간으로 카메라로부터 들어오는 RAQ이미지의 콘트라스트를 향상시키는 기능을 하게 한다.

  • PDF

Design and Verification of Automotive CAN Controller (차량용 CAN 제어기의 설계 및 검증)

  • Lee, Jong-Bae;Lee, Seongsoo
    • Journal of IKEEE
    • /
    • v.21 no.2
    • /
    • pp.162-165
    • /
    • 2017
  • CAN (controller area network) is a standard real-time serial communication protocol, and it was developed to control various in-vehicle electronic modules. In this paper, a CAN controller was designed in Verilog HDL, based on CAN ver. 2.0A and 2.0B. The designed CAN controller was implemented in FPGA, and it was verified its operation by connecting commercial chips. Its size is about 7,800 gates when synthesized in 0.18um technology.

An Implementation of Automatic Boundary Scan Circuit Generator Supporting Private Instructions (특수 명령어를 지원하는 자동 경계 주사 생성기 구현에 관한 연구)

  • 박재흥;장훈
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.41 no.11
    • /
    • pp.115-121
    • /
    • 2004
  • GenJTAG implemented in this paper is an automatic web-based boundary scan circuit generator. GenJTAG supports all the public instructions for the boundary scan technique, and also private instructions for other DFT techniques to be applied. Users can easily edit the generated boundary scan circuit code because it is described in behavioral level with the Verilog-HDL. GenJTAG has another advantage that any one can generate the boundary scan circuit by simply accessing to the web site.

An ASIC Implementation of Fingerprint Thinning Algorithm

  • Jung, Seung-Min
    • Journal of information and communication convergence engineering
    • /
    • v.8 no.6
    • /
    • pp.716-720
    • /
    • 2010
  • This paper proposes an effective fingerprint identification system with hardware block for thinning stage processing of a verification algorithm based on minutiae with 39% occupation of 32-bit RISC microprocessor cycle. Each step of a fingerprint algorithm is analyzed based on FPGA and ARMulator. This paper designs an effective hardware scheme for thinning stage processing using the Verilog-HDL in $160{\times}192$ pixel array. The ZS algorithm is applied for a thinning stage. The logic is also synthesized in $0.35{\mu}m$ 4-metal CMOS process. The layout is performed based on an auto placement-routing and post-simulation is performed in logic level. The result is compared with a conventional one.

Distributed Arithmetic Adaptive Filter Structure for Low-power Digital Hearing Aid Processor Implementation (저전력 디지털 보청기 프로세서 구현을 위한 Distributed Arithmetic 적응 필터 구조)

  • 장영범;이원상;유선국
    • The Transactions of the Korean Institute of Electrical Engineers D
    • /
    • v.53 no.9
    • /
    • pp.657-662
    • /
    • 2004
  • The low-power design of the digital hearing aid is indispensable to achieve the compact portable device with long battery duration. In this paper, new low-power adaptive filter structure is proposed based on distributed arithmetic(DA). By modifying the DA technique, the proposed decimation filter structure can significantly reduce the power consumption and implementation area. Through Verilog-HDL coding, cell occupation of the proposed structure is reduced to 33.49% in comparison with that of the conventional multiplier structure. Since Verilog-HDL simulation processing time of the two structures are same, it is assumed that the power consumption or implementation area is proportional to the cell occupation in the simulation.