• Title/Summary/Keyword: thin film interconnection

Search Result 49, Processing Time 0.028 seconds

A Study on the Reflow Characteristics of Cu Thin Film (구리 박막의 Reflow 특성에 관한 연구)

  • Kim, Dong-Won;Gwon, In-Ho
    • Korean Journal of Materials Research
    • /
    • v.9 no.2
    • /
    • pp.124-131
    • /
    • 1999
  • Copper film, which is expected to be used as interconnection material for 1 giga DRAM integrated circuits was deposited on hole and trench patterns by Metal Organic Chemical Vapor Deposition(MOCVD) method. After a reflow process, contact and L/S patterns were filled by copper and the characteristics of the Cu reflow process were investigated. When deposited Cu films were reflowed, grain growth and agglomeration of Cu have occurred in surfaces and inner parts of patterns as well as complete filling in patterns. Also Cu thin oxide layers were formed on the surface of Cu films reflowed in $O_2$ambient. Agglomeration and oxidation of Cu had bad influence on the electrical properties of Cu films especially, therefore, their removal and prevention were studied simultaneously. As a pattern size is decreased, preferential reflow takes place inside the patterns and this makes advantages in filling patterns of deep submicron size completely. With Cu reflow process, we could fill the patterns with the size of deep sub-micron and it is expected that Cu reflow process could meet the conditions of excellent interconnection for 1 giga DRAM device when it is combined with Cu MOCVD and CMP process.

  • PDF

Characteristics of W-C-N Thin Diffusion Barrier for Cu Interconnection (Cu 금속배선을 위한 카본-질소-텅스텐 확산방지막 특성)

  • Lee, Chang-Woo
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.12 no.4 s.37
    • /
    • pp.345-349
    • /
    • 2005
  • Low resistive ($300{\mu}{\Omega}$-cm) W-C-N films have been deposited on tetraethylorthosilicate (TEOS) interlayer dielectric by atomic layer deposition (ALD) with $WF_6-N_2-CH_4$ gas. The exposure cycles of $N_2$ and $CH_4$ are synchronized with pulse plasma. The W-C-N films on TEOS layer follow the ALD mechanism and keep constant deposition rate of 0.2 nm/cycle from 10 to 100 cycles. As a diffusion barrier for Cu interconnection the W-C-N films maintain amorphous phase and Cu inter-diffusion is not occurred even at $800^{\circ}C$ for 30 min.

  • PDF

The Fabrication of a Ceramic Pressure Sensor Using Tantalum Nitride Thin-Films (질화탄탈박막을 이용한 세라믹 압력센서의 제작)

  • 정수용;최성규;이종춘;정귀상
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.07a
    • /
    • pp.181-184
    • /
    • 2002
  • This paper describes fabrication and characteristics of ceramic pressure sensor for working at high temperature. The proposed pressure sensor consists of a Ta-N thin-film, patterned on a Wheatstone bridge configuration, sputter deposited onto thermally oxidized Si membranes with an aluminium interconnection layer. The fabricated pressure sensor presents a low temperature coefficient of resistance, high sensitivity, low non-linearity and excellent temperature stability The sensitivity is 1.097∼1.21 mV/V$.$kgf/$\textrm{cm}^2$ in the temperature range of 25∼200$^{\circ}C$ and the maximum non-linearity is 0.43 %FS.

  • PDF

Fabrication of White Light Emitting Diode Lamp Designed by Photomasks with Serial-parallel Circuits in Metal Interconnection ($\cdot$병렬 회로로 금속배선된 포토마스크로 설계된 백색LED 조명램프 제조 공정특성 연구)

  • Song, Sang-Ok;Kim, Keun-Joo
    • Journal of the Semiconductor & Display Technology
    • /
    • v.4 no.3 s.12
    • /
    • pp.17-22
    • /
    • 2005
  • LED lamp was designed by the serial-parallel integration of LED chips in metal-interconnection. The 7 $4.5{\times}4.5\;in^{2}$ masks were designed with the contact type of chrome-no mirror?dark. The white epitaxial thin film was grown by metal-organic chemical vapor deposition. The active layers were consisted with the serial order of multi-quantum wells for blue, green and red lights. The fabricated LED chip showed the electroluminescence peaked at 450, 560 and 600 nm. For the current injection of 20 mA, the operating voltage was measured to 4.25 V and the optical emission power was obtained to 0.7 $\mu$W.

  • PDF

The Effects of the Annealing on the Reflow Property of Cu Thin Film (열처리에 따른 구리박막의 리플로우 특성)

  • Kim Dong-Won;Kim Sang-Ho
    • Journal of the Korean institute of surface engineering
    • /
    • v.38 no.1
    • /
    • pp.28-36
    • /
    • 2005
  • In this study, the reflow characteristics of copper thin films which is expected to be used as interconnection materials in the next generation semiconductor devices were investigated. Cu thin films were deposited on the TaN diffusion barrier by metal organic chemical vapor deposition (MOCVD) and annealed at the temperature between 250℃ and 550℃ in various ambient gases. When the Cu thin films were annealed in the hydrogen ambience compared with oxygen ambience, sheet resistance of Cu thin films decreased and the breakdown of TaN diffusion barrier was not occurred and a stable Cu/TaN/Si structure was formed at the annealing temperature of 450℃. In addition, reflow properties of Cu thin films could be enhanced in H₂ ambient. With Cu reflow process, we could fill the trench patterns of 0.16~0.24 11m with aspect ratio of 4.17~6.25 at the annealing temperature of 450℃ in hydrogen ambience. It is expected that Cu reflow process will be applied to fill the deep pattern with ultra fine structure in metallization.

Dielectric passivation effects on the electromigration phenomena in Al-1%Si thin film interconnections (A1-1%Si 박막배선에서 엘렉트로마이그레이션 현상에 미치는 절연보호막 효과)

  • 김경수;김진영
    • Journal of the Korean Vacuum Society
    • /
    • v.10 no.1
    • /
    • pp.27-30
    • /
    • 2001
  • Electromigration Phenomena in Al-1%Si thin film interconnections under DC and PDC conditions were investigated. Thin film interconnections with $SiO_2$ and PSG/$SiO_2$ dielectric passivation layer were formed by a standard photolithography process method and test line lengths were 100, 400, 800, 1200, and 1600 $\mu\textrm{m}$. The current density of $1.19\times10^7\textrm{A/cm}^2$ was stressed in Al-1%Si thin film interconnections under DC condition. The current density of $1.19\times10^7\textrm{A/cm}^2$ was also applied under PDC condition at the frequency of 1 Hz with the duty factor of 0.5. The electromigration resistance of PSG/SiO2 dielectric passivation test line was stronger than $SiO_2$ dielectric passivation test line. The lifetime under PDC was 2-4 times longer than DC condition. As the thin film interconnection line increased, the lifetime decreased and saturated over the critical length. Failure patterns by an electromigration were dominated by void-induced electrical open and hillock-induced electrical short.

  • PDF

Multilayer thin Film technology as an Enabling technology for System-in-Package (SIP) and "Above-IC" Processing

  • Beyne, Eric
    • Proceedings of the International Microelectronics And Packaging Society Conference
    • /
    • 2003.09a
    • /
    • pp.93-100
    • /
    • 2003
  • The continuing scaling trend in microelectronic circuit technology has a significant impact on the different IC interconnection and packaging technologies. These latter technologies have not kept pace with the IC scaling trends, resulting in a so-called“interconnect technology gap”. Multilayer thin film technology is proposed as a“bridge”- technology between the very high density IC technology and the coarse standard PCB technology. It is also a key enabling technology for the realisation of true“System-in-a-Package”(SIP) solutions, combining multiple“System-on-a-Chip”(SOC) IC's with other components and also integrating passive components in its layers. A further step is to use this technology to realise new functionalities on top of active wafers. These additional“above-IC”processed layers may e.g. be used for low loss, high speed on chip interconnects, clock distribution circuits, efficient power/ground distribution and to realize high Q inductors on chip.

  • PDF

Electrochemical Metallization Processes for Copper and Silver Metal Interconnection (구리 및 은 금속 배선을 위한 전기화학적 공정)

  • Kwon, Oh Joong;Cho, Sung Ki;Kim, Jae Jeong
    • Korean Chemical Engineering Research
    • /
    • v.47 no.2
    • /
    • pp.141-149
    • /
    • 2009
  • The Cu thin film material and process, which have been already used for metallization of CMOS(Complementary Metal Oxide Semiconductor), has been highlighted as the Cu metallization is introduced to the metallization process for giga - level memory devices. The recent progresses in the development of key elements in electrochemical processes like surface pretreatment or electrolyte composition are summarized in the paper, because the semiconductor metallization by electrochemical processes such as electrodeposition and electroless deposition controls the thickness of Cu film in a few nm scales. The technologies in electrodeposition and electroless deposition are described in the viewpoint of process compatibility between copper electrodeposition and damascene process, because a Cu metal line is fabricated from the Cu thin film. Silver metallization, which may be expected to be the next generation metallization material due to its lowest resistivity, is also introduced with its electrochemical fabrication methods.

Fabrication Processes of Interconnection Systems for Bare Chip Burn-In Tests Using Epitaxial Layer Growth and Etching Techniques of Silicon (실리콘 에피층 성장과 실리콘 에칭기술을 이용한 Bare Chip Burn-In 테스트용 인터컨넥션 시스템의 제조공정)

  • 권오경;김준배
    • Journal of the Korean institute of surface engineering
    • /
    • v.28 no.3
    • /
    • pp.174-181
    • /
    • 1995
  • Multilayered silicon cantilever beams as interconnection systems for bare chip burn-in socket applications have been designed, fabricated and characterized. Fabrication processes of the beam are employing standard semiconductor processes such as thin film processes and epitaxial layer growth and silicon wet etching techniques. We investigated silicon etch rate in 1-3-10 etchant as functions of doping concentration, surface mechanical stress and crystal defects. The experimental results indicate that silicon etch rate in 1-3-10 etchant is strong functions of doping concentration and crystal defect density rather than surface mechanical stress. We suggested the new fabrication processes of multilayered silicon cantilever beams.

  • PDF

A Study on the Electromigratin Phenomena in Dielectric Passivated Al-1Si Thin Film Interconnections under D.C. and Pulsed D.C.Conditions. (절연보호막 처리된 Al-1 % Si박막배선에서 D.C.와 Pulsed D.C. 조건하에서의 electromigration현상에 관한 연구)

  • 배성태;김진영
    • Journal of the Korean Vacuum Society
    • /
    • v.5 no.3
    • /
    • pp.229-238
    • /
    • 1996
  • The electromigration phenomena and the characterizations of the conductor lifetime (Time-To-Failure, TTF) in Al-1%Si thin film interconnections under D.C. and Pulsed D.C. conditions were investigated . Meander type test patterns were fabricated with the dimensions of 21080$mu \textrm{m}$ length, 3$\mu\textrm{m}$ width, 0.7$\mu\textrm{m}$ thickness and the 0.1$\mu\textrm{m}$/0.8$\mu\textrm{m}$($SiO_2$/PSG)dielectric overlayer. The current densities of $2 \times10^6 A/\textrm{cm}^2$ and $1 \times10^7 A/\textrm{cm}^2$ were stressed in Al-1%Si thin film interconnection s under a D.C. condition. The peak current densities of $2 \times10^6 A/\textrm{cm}^2$ and $1 \times10^7 A/\textrm{cm}^2$ were also applied under a Pulsed D.C. condition at frequencies of 200KHz, 800KHz, 1MHz, and 4MHz with the duty factor of 0.5. THe time-to-failure under a Pulsed D.C.($TTF_{pulsed D.C}$) was appeared to be larger than that under a D.C. condition. It was found that the TTF under both a D.C. and a Pulsed D.C. condition. It was found that the TTF under both a D.C. and a Pulsed D.C. condition largely depends upon the appiled current densities respectively . This can be explained by a relaxation mechanism view due to a duty cycle under a Pulsed D.C. related to the wave on off. The relaxation phenomena during the pulsed off period result in the decayof excess vacancies generated in the Al-1%Si thin film interconnections because of the electrical and mechanical stress gradient . Hillocks and voids formed by an electromigration were observed by using a SEM (Scanning Electron Microscopy).

  • PDF