• 제목/요약/키워드: superscalar processor

검색결과 58건 처리시간 0.027초

목적 코드에서 LNOP 코드가 제거됨에 따른 SVLIW 구조의 성능 향상 (Performance Improvement of SVLIW Architectures by Removing LNOPs from An Object Code)

  • 정보윤;전중남;김석일
    • 한국정보처리학회논문지
    • /
    • 제4권9호
    • /
    • pp.2269-2279
    • /
    • 1997
  • SVLIW (Superscalar VLIW) 프로세서는 실시간에 긴 명령어를 스케줄하는 VLIW 프로세서의 일종으로 인출되어 실행될 긴 명령어가 사용할 자원과 앞서 인출되어 수행중인 긴 명령어가 사용하는 자원간에 충돌이 발생하면 인출하여 실행하려는 긴 명령어를 수행하지 않고 NOP으로만으로 구성된 긴 명령어(LNOP: Long NOP word)를 할당하여 긴 명령어간의 충돌로 인한 계산의 오류를 피한다. 따라서 SVLIW 프로세서에서는 목적 코드 내에서 LNOP을 제거할 수 있다. 본 논문에서는 목적 코드에서 LNOP이 제거됨에 따라 캐쉬 적중률이 얼마나 향상되는지를 분석하고 이로 인하여 예상되는 성능 향상을 연구하였다. 여러 가지의 벤치 마크 프로그램에 대한 모의 실험 결과, SVLIW 프로세서 구조는 기존의 VLIW 프로세서 구조에 비하여 성능이 5%이상 향상됨이 확인될 수 있었다.

  • PDF

최신 프로세서 탑재 비행제어 컴퓨터의 통합시험을 위한 프로세서 모니터링 연구 (A Study on Processor Monitoring for Integration Test of Flight Control Computer equipped with A Modern Processor)

  • 이철;김재철;조인제
    • 제어로봇시스템학회논문지
    • /
    • 제14권10호
    • /
    • pp.1081-1087
    • /
    • 2008
  • This paper describes limitations and solutions of the existing processor-monitoring concept for a military supersonics aircraft Flight Control Computer (FLCC) equipped with modern architecture processor to perform the system integration test. Safecritical FLCC integration test, which requires automatic test for thousands of test cases and real-time input/output test condition generation, depends on the processor-monitoring device called Processor Interface (PI). The PI, which relies upon on the FLCC processor's external address and data-bus data, has some limitations due to multi-fetching capability of the modern sophisticated military processors, like C6000's VLIW (Very-Long Instruction Word) architecture and PowerPC's Superscalar architecture. Several techniques for limitations were developed and proper monitoring approach was presented for modem processor-adopted FLCC system integration test.

멀티미디어 명령어를 강화한 수퍼스칼라 RISC 마이크로프로세서 구조 (Superscalar RISC Microprocessor Architecture with enhanced Multimedia Instructions)

  • 이용환;문병인;이용석
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1999년도 추계종합학술대회 논문집
    • /
    • pp.931-934
    • /
    • 1999
  • For applications in multimedia to which genuine RISC microprocessors are not suitably applicable, a new generation of fast and flexible microprocessors is required. In this paper, as a technique of integrating DSP functionality in a general RISC processor, a RISC that can execute DSP extension instructions is developed to improve the performance of multimedia application execution. This processor can execute DSP instructions in parallel with the execution of ALU instructions for efficient and fast execution. In addition, the execution ability of integer instructions is improved by enhancing the RISC core itself.

  • PDF

SPEC 벤치마크 프로그램에 대한 매니코어 프로세서의 성능 연구 (A Performance Study on Many-core Processor Architectures with SPEC Benchmark Programs)

  • 이종복
    • 전기학회논문지
    • /
    • 제62권2호
    • /
    • pp.252-256
    • /
    • 2013
  • In order to overcome the complexity and performance limit problems of superscalar processors, the multi-core architecture has been prevalent recently. Usually, the number of cores mostly used for the multi-core processor architecture ranges from 2 to 16. However in the near future, more than 32-cores are likely to be utilized, which is called as many-core processor architecture. Using SPEC 2000 benchmarks as input, the trace-driven simulation has been performed for the 32 to 1024 many-core architectures extensively. For 1024-cores, the average performance scores 15.7 IPC, but the performance increase rate is saturated.

프로그램 상의 제어 독립성을 이용한 분기 예상 실패 복구 메커니즘 (Branch Misprediction Recovery Mechanism That Exploits Control Independence on Program)

  • 윤성룡;이원모;조영일
    • 한국정보과학회논문지:시스템및이론
    • /
    • 제29권7호
    • /
    • pp.401-410
    • /
    • 2002
  • 제어 독립성은 슈퍼스칼라 프로세서에서 명령어 수준 병렬성을 향상시키기 위한 중요한 요소로 작용하고 있다. 분기 예측기에서 예상이 잘못된 경우에는 예상한 분기 방향의 명령어들을 무효화시키고 올바른 분기 방향의 명령어들을 다시 반입하여 수행해야 한다. 본 논문에서는 컴파일 시 프로파일링을 통한 정적인 방법과 프로그램상의 제어 흐름을 통해 동적으로 제어 독립적인 명령어를 탐지해서 분기 명령어의 잘못된 예상으로 인해 무효화되는 명령어를 효과적으로 감소시켜 프로세서의 성능을 향상시키는 메커니즘을 제안한다. SPECint95 벤치마크 프로그램에 대해 기존의 방법과 본 논문에서 제안한 방법 사이의 사이클 당 수행된 명령어 수를 분석한 결과, 4-이슈 프로세서에서 2%~7%, 8-이슈 프로세서에서 4%~15%, 16-이슈 프로세서에서 18%~28%의 성능 향상을 보이고 있다.

농업정보기술을 위한 ILP 프로세서에서 새로운 복구 메커니즘 적용 분기예측기 (A Branch Predictor with New Recovery Mechanism in ILP Processors for Agriculture Information Technology)

  • 고광현;조영일
    • Agribusiness and Information Management
    • /
    • 제1권2호
    • /
    • pp.43-60
    • /
    • 2009
  • To improve the performance of wide-issue superscalar processors, it is essential to increase the width of instruction fetch and the issue rate. Removal of control hazard has been put forward as a significant new source of instruction-level parallelism for superscalar processors and the conditional branch prediction is an important technique for improving processor performance. Branch mispredictions, however, waste a large number of cycles, inhibit out-of-order execution, and waste electric power on mis-speculated instructions. Hence, the branch predictor with higher accuracy is necessary for good processor performance. In global-history-based predictors like gshare and GAg, many mispredictions come from commit update of the branch history. Some works on this subject have discussed the need for speculative update of the history and recovery mechanisms for branch mispredictions. In this paper, we present a new mechanism for recovering the branch history after a misprediction. The proposed mechanism adds an age_counter to the original predictor and doubles the size of the branch history register. The age_counter counts the number of outstanding branches and uses it to recover the branch history register. Simulation results on the SimpleScalar 3.0/PISA tool set and the SPECINT95 benchmarks show that gshare and GAg with the proposed recovery mechanism improved the average prediction accuracy by 2.14% and 9.21%, respectively and the average IPC by 8.75% and 18.08%, respectively over the original predictor.

  • PDF

통계적 모의실험을 이용하는 프로세서의 성능 모델 (The Processor Performance Model Using Statistical Simulation)

  • 이종복
    • 한국정보과학회논문지:시스템및이론
    • /
    • 제33권5호
    • /
    • pp.297-305
    • /
    • 2006
  • 마이크로 프로세서 구조의 성능을 분석할 때, 트레이스 구동형 모의실험이 광범위하게 수행되고 있으나, 시간과 공간을 많이 차지하기 때문에 최근에 이르러 통계적 모의실험이 그 대안으로 떠오르고 있다. 기존의 통계적 모의실험이 단일 분기 예측법에 대하여 연구가 수행된 것과 달리, 본 논문에서는 다중 분기 예측법을 이용하는 고성능 수퍼스칼라 프로세서에 대한 통계적 프로화일링 모델을 제안하였다. 이때, 다중 분기 예측법은 최근 들어 유망한 기법으로 대두되고 있는 퍼셉트론 분기 예측법을 기반으로 하였다. 이것을 위하여 SPEC 2000 벤치마크 프로그램의 특성을 통계적 프로화일링 기법으로 모델링하고, 여기서 얻은 통계적 프로화일을 바탕으로 벤치마크 트레이스를 합성하여 모의실험을 수행하였다. 그 결과, 제안하는 방식으로 다중 분기 예측을 이용하는 수퍼스칼라 프로세서에서도 비교적 높은 정확도를 얻을 수 있었다.

ARMv7 Core를 위한 3-way SuperScalar Decoder 설계 (3-way SuperScalar Decoder Design for ARMv7 Core)

  • 김효원;김인수;백철기;민형복
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2008년도 추계학술대회 논문집 전기기기 및 에너지변환시스템부문
    • /
    • pp.246-247
    • /
    • 2008
  • Further evolutions of technologies and needs of users will make mobile equipments improved. To make this happen, processor's good performance is essential. Hence, This paper propose a reform of Instruction Execute and Instruction Decode of contemporary ARMv7 which needs low-power and has the high performance for a faster processor. The first chapter explains why the performance of a processor has to be upgraded, the second chapter shows current technologies. The third chapter explains about the proposal and illustrates the structure. Finally, in the forth chapter, the conclusion will be made. 3-way Superscalar, that is proposed in this paper, will make designing a faster processor possible. And it will contribute for the advanced performance of mobile equipments.

  • PDF

슈퍼스칼라 프로세서에서 명령 윈도우 크기에 따른 혼합형 값 예측기 (Hybrid Value Predictor in Wide-Issue Superscalar Processor)

  • 전병찬;최규석
    • 한국인터넷방송통신학회논문지
    • /
    • 제9권2호
    • /
    • pp.97-103
    • /
    • 2009
  • 본 논문에서는 슈퍼스칼라에서 윈도우 크기에 따른 명령 페치율에 따라 혼합형 값 예측기의 성능을 평가한다. 일반적으로, 명령의 데이터 의존성은 명령의 페치수에 따라 증가된다. 그러므로, 명령 페치율이 증가할 때 값 예측기의 성능이 높다고 본다. 이러한 성능은 명령 페치 메카니즘인 컬랩싱 버퍼와 트레이스 캐쉬로 연구한다. 실험결과는 명령 윈도우 크기에 따른 명령 페치율 증가와 혼합형에서 non-tc 와 tc을 적용한 IPC와 예측률의 값 예측기의 성능 효과를 평가한다.

  • PDF

다양한 윈도우 크기와 이슈폭에 따른 시스템의 성능 변화 (Performance Balance between the Window size and Issue Width)

  • 김태목;이정수;김준성
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2008년도 하계종합학술대회
    • /
    • pp.655-656
    • /
    • 2008
  • There are trade-offs between a window size and an issue width for superscalar processors. A good balance between them prevents waste of system resources. In this paper, we investigate the performance of a superscalar processor with various sizes of window and issue width. From the experiments, we find that there is a linear relationship between window size and issue width.

  • PDF