• Title/Summary/Keyword: scan testing

Search Result 228, Processing Time 0.031 seconds

Efficient Test Data Compression and Low Power Scan Testing in SoCs

  • Jung, Jun-Mo;Chong, Jong-Wha
    • ETRI Journal
    • /
    • v.25 no.5
    • /
    • pp.321-327
    • /
    • 2003
  • Testing time and power consumption during the testing of SoCs are becoming increasingly important with an increasing volume of test data in intellectual property cores in SoCs. This paper presents a new algorithm to reduce the scan-in power and test data volume using a modified scan latch reordering algorithm. We apply a scan latch reordering technique to minimize the column hamming distance in scan vectors. During scan latch reordering, the don't-care inputs in the scan vectors are assigned for low power and high compression. Experimental results for ISCAS 89 benchmark circuits show that reduced test data and low power scan testing can be achieved in all cases.

  • PDF

Efficient Test Data Compression and Low Power Scan Testing for System-On-a-Chip(SOC) (SOC(System-On-a-Chip)에 있어서 효율적인 테스트 데이터 압축 및 저전력 스캔 테스트)

  • Park Byoung-Soo;Jung Jun-Mo
    • The Journal of the Korea Contents Association
    • /
    • v.5 no.1
    • /
    • pp.229-236
    • /
    • 2005
  • Testing time and power consumption during testing System-On-a-Chip (SOC) are becoming increasingly important as the IP core increases in a SOC. We present a new algorithm to reduce the scan-in power and test data volume using the modified scan latch reordering. We apply scan latch reordering technique for minimizing the hamming distance in scan vectors. Also, during scan latch reordering, the don't care inputs in scan vectors are assigned for low power and high compression. Experimental results for ISCAS 89 benchmark circuits show that reduced test data and low power scan testing can be achieved in all cases.

  • PDF

New IEEE 1149.1 Boundary Scan Architecture for Multi-drop Multi-board System (멀티 드롭 멀티 보드 시스템을 위한 새로운 IEEE 1149.1 경계 주사 구조)

  • Bae, Sang-Min;Song, Dong-Sup;Kang, Sung-Ho;Park, Young-Ho
    • The Transactions of the Korean Institute of Electrical Engineers D
    • /
    • v.49 no.11
    • /
    • pp.637-642
    • /
    • 2000
  • IEEE 1149.1 boundary scan architecture is used as a standard in board-level system testing. The simplicity of this architecture is an advantage in system testing, but at the same time, it it makes a limitation of applications. Because of several problems such as 3-state net conflicts, or ambiguity issues, interconnect testing for multi-drop multi-board systems is more difficult than that of single board systems. A new approach using IEEE 1149.1 boundary scan architecture for multi-drop multi-board systems is developed in this paper. Adding boundary scan cells on backplane bus lines, each board has a complete scan-chain for interconnect test. This new scan-path insertion method on backplane bus using limited 1149.1 test bus less area overhead and mord efficient than previous approaches.

  • PDF

New Scan Design for Delay Fault Testing of Sequential Circuits (순차 회로의 지연 고장 검출을 위한 새로운 스캔 설계)

  • 허경회;강용석;강성호
    • The Transactions of the Korean Institute of Electrical Engineers A
    • /
    • v.48 no.9
    • /
    • pp.1161-1166
    • /
    • 1999
  • Delay testing has become highlighted in the field of digital circuits as the speed and the density of the circuits improve greatly. However, delay faults in sequential circuits cannot be detected easily due to the existence of state registers. To overcome this difficulty a new scan filp-flop is devised which can be used for both stuck-at testing and delay testing. In addition, the new scan flip-flop can be applied to both the existing functional justification method and the newly-developed reverse functional justification method which uses scan flip-flops as storing the second test patterns rather than the first test patterns. Experimental results on ISCAS 89 benchmark circuits show that the number of testable paths can be increased by about 10% on the average.

  • PDF

A Study on the Performance Analysis of an Extended Scan Path Architecture (확장된 스캔 경로 구조의 성능 평가에 관한 연구)

  • 손우정
    • Journal of the Korea Society of Computer and Information
    • /
    • v.3 no.2
    • /
    • pp.105-112
    • /
    • 1998
  • In this paper, we propose a ESP(Extended Scan Path) architecture for multi-board testing. The conventional architectures for board testing are single scan path and multi-scan path. In the single scan path architecture, the scan path for test data is just one chain. If the scan path is faulty due to short or open, the test data is not valid. In the multi-scan path architecture, there are additional signals in multi-board testing. So conventional architectures are not adopted to multi-board testing. In the case of the ESP architecture, even though scan path is either short or open, it doesn't affect remaining other scan paths. As a result of executing parallel BIST and IEEE 1149.1 boundary scan test by using the proposed ESP architecture, we observed that the test time is short compared with the single scan path architecture. By comparing the ESP architecture with single scan path responding to independency of scan path, test time and with multi-scan path responding to signal, synchronization, we showed that the architecture has improved results.

  • PDF

Low Power Test for SoC(System-On-Chip)

  • Jung, Jun-Mo
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2011.10a
    • /
    • pp.892-895
    • /
    • 2011
  • Power consumption during testing System-On-Chip (SOC) are becoming increasingly important as the IP core increases in SOC. We present a new algorithm to reduce the scan-in power using the modified scan latch reordering and clock gating. We apply scan latch reordering technique for minimizing the hamming distance in scan vectors. Also, during scan latch reordering, the don't care inputs in scan vectors are assigned for low power. Also, we apply the clock gated scan cells. Experimental results for ISCAS 89 benchmark circuits show that reduced low power scan testing can be achieved in all cases.

  • PDF

Boundary Scan Test Methodology for Multiple Clock Domains (다중 시스템 클럭 도메인을 고려한 경계 주사 테스트 기법에 관한 연구)

  • Jung, Sung-Won;Kim, In-Soo;Min, Hyoung-Bok
    • Proceedings of the KIEE Conference
    • /
    • 2007.07a
    • /
    • pp.1850-1851
    • /
    • 2007
  • To the Boundary Scan, this architecture in Scan testing of design under the control of boundary scan is used in boundary scan design to support the internal scan chain. The internal scan chain has single scan-in port and single scan-out port that multiple scan chain cannot be used. Internal scan design has multiple scan chains, those chains must be stitched to form a scan chain as this paper. We propose an efficient Boundary Scan test structure for multiple clock testing in design.

  • PDF

A New Scan Partition Scheme for Low-Power Embedded Systems

  • Kim, Hong-Sik;Kim, Cheong-Ghil;Kang, Sung-Ho
    • ETRI Journal
    • /
    • v.30 no.3
    • /
    • pp.412-420
    • /
    • 2008
  • A new scan partition architecture to reduce both the average and peak power dissipation during scan testing is proposed for low-power embedded systems. In scan-based testing, due to the extremely high switching activity during the scan shift operation, the power consumption increases considerably. In addition, the reduced correlation between consecutive test patterns may increase the power consumed during the capture cycle. In the proposed architecture, only a subset of scan cells is loaded with test stimulus and captured with test responses by freezing the remaining scan cells according to the spectrum of unspecified bits in the test cubes. To optimize the proposed process, a novel graph-based heuristic to partition the scan chain into several segments and a technique to increase the number of don't cares in the given test set have been developed. Experimental results on large ISCAS89 benchmark circuits show that the proposed technique, compared to the traditional full scan scheme, can reduce both the average switching activities and the average peak switching activities by 92.37% and 41.21%, respectively.

  • PDF

Test Methodology for Multiple Clocks in Systems (시스템 내에 존재하는 다중 클럭을 제어하는 테스트 기법에 관한 연구)

  • Lee, Il-Jang;Kim, In-Soo;Min, Hyoung-Bok
    • Proceedings of the KIEE Conference
    • /
    • 2007.07a
    • /
    • pp.1840-1841
    • /
    • 2007
  • To the Boundary Scan, this architecture in Scan testing of design under the control of boundary scan is used in boundary scan design to support the internal scan chain. The internal scan chain has single scan-in port and single scan-out port that multiple scan chain cannot be used. Internal scan design has multiple scan chains, those chains must be stitched to form a scan chain as this paper. We propose an efficient Boundary Scan test structure for multiple clock testing in design.

  • PDF

Efficient Path Delay Testing Using Scan Justification

  • Huh, Kyung-Hoi;Kang, Yong-Seok;Kang, Sung-Ho
    • ETRI Journal
    • /
    • v.25 no.3
    • /
    • pp.187-194
    • /
    • 2003
  • Delay testing has become an area of focus in the field of digital circuits as the speed and density of circuits have greatly improved. This paper proposes a new scan flip-flop and test algorithm to overcome some of the problems in delay testing. In the proposed test algorithm, the second test pattern is generated by scan justification, and the first test pattern is processed by functional justification. In the conventional functional justification, it is hard to generate the proper second test pattern because it uses a combinational circuit for the pattern. The proposed scan justification has the advantage of easily generating the second test pattern by direct justification from the scan. To implement our scheme, we devised a new scan in which the slave latch is bypassed by an additional latch to allow the slave to hold its state while a new pattern is scanned in. Experimental results on ISCAS'89 benchmark circuits show that the number of testable paths can be increased by about 45 % over the conventional functional justification.

  • PDF