• Title/Summary/Keyword: planarity

Search Result 88, Processing Time 0.029 seconds

Analysis of corrugated board panels under compression load

  • Biancolini, M.E.;Brutti, C.;Porziani, S.
    • Steel and Composite Structures
    • /
    • v.9 no.1
    • /
    • pp.1-17
    • /
    • 2009
  • This paper is focused on the buckling and post buckling behaviour of rectangular corrugated board panels simply supported and subjected to compression load. The aim of the work is to understand the failure mechanism of investigated structure in order to quantify the effect of design parameters on the strength of a panel of given geometry. Two numerical models were developed adopting the finite element method. In the first one the corrugated board is represented by means of shell elements adopting an equivalent material, in the second the local structure is described in full detail modelling both straight and corrugated layers by means of shell elements and representing the connection between layers by special interface elements. The model correctness was checked by the comparison between out of plane central displacement predicted by the models and the experimental values found in literature. For the same case the effect of panel planarity error was evaluated. Finally a parametric analysis to investigate the effect of design parameters was carried out.

FINITE GROUPS WHOSE INTERSECTION GRAPHS ARE PLANAR

  • Kayacan, Selcuk;Yaraneri, Ergun
    • Journal of the Korean Mathematical Society
    • /
    • v.52 no.1
    • /
    • pp.81-96
    • /
    • 2015
  • The intersection graph of a group G is an undirected graph without loops and multiple edges defined as follows: the vertex set is the set of all proper non-trivial subgroups of G, and there is an edge between two distinct vertices H and K if and only if $H{\cap}K{\neq}1$ where 1 denotes the trivial subgroup of G. In this paper we characterize all finite groups whose intersection graphs are planar. Our methods are elementary. Among the graphs similar to the intersection graphs, we may count the subgroup lattice and the subgroup graph of a group, each of whose planarity was already considered before in [2, 10, 11, 12].

CMP Properties of Oxide Film with Various Pad Conditioning Temperatures (CMP 패드 컨디셔닝 온도에 따른 산화막의 연마특성)

  • Choi, Gwon-Woo;Kim, Nam-Hoon;Seo, Yong-Jin;Lee, Woo-Sun
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.4
    • /
    • pp.297-302
    • /
    • 2005
  • Chemical mechanical polishing(CMP) performances can be optimized by several process parameters such as equipment and consumables (pad, backing film and slurry). Pad properties are important in determining removal rate and planarization ability of a CMP process. It is investigated the performance of oxide CMP process using commercial silica slurry after the pad conditioning temperature was varied. Conditioning process with the high temperature made the slurry be unrestricted to flow and be hold, which made the removal rate of oxide film increase. The pad became softer and flexible as the conditioning temperature increases. Then the softer pad provided the better surface planarity of oxide film without defect.

A study on the optimization of a CMP system design for lowering of bull's eye effects (Bull's eye effects 를 줄이기 위한 CMP system의 최적화 설계에 관한 연구)

  • 정병훈;이진구;정철환;이응호;윤형진;백종태;유형주
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.33A no.12
    • /
    • pp.72-76
    • /
    • 1996
  • A CMP system is newly proposed and analyzed by a home-made computer progarm. The proposed system is possibly to lower bull's eye effects such that the planarity of a wafer surface using a CMP system can be drasitcally improved. The optimum conditions for a design of the proposed system are verified using the home-made simulation program. For the proposed CMP system with a 12" diameter of platen, the optimum design conditons are the 0.09", 0.10", 0.12", and 0.14", clearance from the platern edge for wafer diameters of 5", 6", 8", and 12", respectively. These clear distance such as 0.09", 0.10", 0.12", and 0.14" are optimum lengths of the sample wafers extended ffrom the platen edge. In other words, shorter orbital lengths result in lowering of Bull's eye effects.

  • PDF

Recycling Characteristics of Silica Abrasive Slurry (실리카 슬러리의 재활용 특성)

  • Park, Sung-Woo;Kim, Chul-Bok;Lee, Woo-Sun;Chang, Eui-Goo;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.11a
    • /
    • pp.723-726
    • /
    • 2004
  • In this work, we have studied the CMP characteristics by mixing of original slurry and used slurry in order to investigated the possibility of recycle of used silica slurry. The removal rate and within-wafer non-uniformity (WIWNU) were measured as a function of different slurry composition. Also, we compared the CMP characteristics between self-developed KOH-based silica abrasive slurry and the original slurry. Our experimental results revealed comparable removal rate and good planarity with commercial products.

  • PDF

Tungsten CMP using Fixed Abrasive Pad with Self-Conditioning (Self-Conditioning을 이용한 고정입자패드의 텅스텐 CMP)

  • Park, Boum-Young;Kim, Ho-Youn;Seo, Heon-Deok;Jeong, Hae-Do
    • Proceedings of the KSME Conference
    • /
    • 2003.04a
    • /
    • pp.1296-1301
    • /
    • 2003
  • The chemical mechanical polishing(CMP) is necessarily applied to manufacturing the dielectric layer and metal line in the semiconductor device. The conditioning of polishing pad in CMP process additionally operates for maintaining the removal rate, within wafer non-uniformity, and wafer to wafer non-uniformity. But the fixed abrasive pad(FAP) using the hydrophilic polymer with abrasive that has the swelling characteristic by water owns the self-conditioning advantage as compared with the general CMP. FAP also takes advantage of planarity, resulting from decreasing pattern selectivity and defects such as dishing due to the reduction of abrasive concentration. This paper introduces the manufacturing technique of FAP. And the tungsten CMP using FAP achieved the good conclusion in point of the removal rate, non-uniformity, surface roughness, material selectivity, micro-scratch free contemporary with the pad life-time.

  • PDF

A study on the global planarization characteristics in end point stage for device wafers (다바이스 웨이퍼의 평탄화와 종점 전후의 평탄화 특성에 관한 연구)

  • 정해도;김호윤
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.12
    • /
    • pp.76-82
    • /
    • 1997
  • Chemical mechanical polishing (CMP) has become widely accepted for the planarization of multi-interconnect structures in semiconductor manufacturing. However, perfect planarization is not so easily ahieved because it depends on the pattern sensitivity, the large number of controllable process parameters, and the absence of a reliable process model, etc. In this paper, we realized the planarization of deposited oxide layers followed by metal (W) polishing as a replacement for tungsten etch-back process for via formation. Atomic force microscope (AFM) is used for the evaluation of pattern topography during CMP. As a result, AFM evaluation is very attractive compared to conventional methods for the measurment of planarity. mOreover, it will contribute to analyze planarization characteristics and establish CMP model.

  • PDF

A Study on the Ultrasonic Conditioning for Interlayer Dielectic CMP (층간절연막 CMP의 초음파 컨디셔닝 특성에 관한 연구)

  • 서헌덕;정해도;김형재;김호윤;이재석;황징연;안대균
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2000.05a
    • /
    • pp.854-857
    • /
    • 2000
  • Chemical Mechanical Polishing(CMP) has been accepted as one of the essential processes for VLSI fabrication. However, as the polishing process continues, pad pores get to be glazed by polishing residues, which hinder the supply of new slurry. This defect makes removal rate decrease with a number of polished wafer and the desired within-chip planarity, within wafer and wafer-to-wafer nonuniformity are unable to be achieved. So, pad conditioning is essential to overcome this defect. The eletroplated diamond grit disk is used as the conventional conditioner, And alumina long fiber, the .jet power of high pressure deionized water and vacuum compression are under investigation. But, these methods have the defects like scratches on wafer surface by out of diamond grits, subsidences of pad pores by over-conditioning, and the limits of conditioning effect. To improve these conditioning methods. this paper presents the Characteristics of Ultrasonic conditioning aided by cavitation.

  • PDF

Macroscopic Nonlinear Optical Properties of Tricyanopyrrolidene Chromophore Containing Amorphous Polycarbonate: Effect of Molecular Lateral Moiety in the Conjugative Structure

  • Cho, Min-Ju;Lee, Sang-Kyu;Jin, Jung-Il;Choi, Dong-Hoon
    • Macromolecular Research
    • /
    • v.14 no.6
    • /
    • pp.603-609
    • /
    • 2006
  • Tricyanopyrrolidene chromophores were prepared in order to compare their macroscopic nonlinear optical (NLO) properties with a conjugated structure through the long molecular axis. A thiophene or phenyl ring was tethered to an ethylenic bond; it may act as a lateral moiety to disrupt the planarity of a chromophore and lessen the electrostatic interaction. Thin film composites of these chromophores dissolved in amorphous polycarbonate (APC) were fabricated. Real time pole and probe method was employed to investigate the change of electro-optic (EO) signal during poling. The EO properties and their relaxation behaviors of the guest-host systems containing newly synthesized chromophores were investigated in detail.

CMP Properties of ITO Thin Film by CMP Process Parameters (공정변수 변화에 따른 ITO 박막의 연마특성)

  • Choi, Gwon-Woo;Kim, Nam-Hoon;Seo, Yong-Jin;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.11a
    • /
    • pp.105-106
    • /
    • 2005
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process has been widely used in microelectronics and semiconductor processes. Indium tin oxide (ITO) thin film was polished by CMP by the change of process parameters for the improvement of CMP performance. Removal rate and planarity were improved after CMP process at the optimized process parameters compared to that before CMP process.

  • PDF