• Title/Summary/Keyword: out-of-order

Search Result 21,653, Processing Time 0.05 seconds

Determination of Performance Determinant Factors in Snatch Weightlifting (여자역도 인상종목의 경기력 결정요인 산출)

  • Moon, Young-Jin
    • Korean Journal of Applied Biomechanics
    • /
    • v.15 no.2
    • /
    • pp.21-29
    • /
    • 2005
  • The Purpose of this study was to seek determinant factors through analysis of 65 snatch skill kinematic factors of Athletics participated in 2001 Asian weightlifting competetion. The conclusion were as follows ; 1. In order to enhance snatch skill, when barbell move on knee position, One should be flex knee joint to 105-110 degree, and In pull motion, One should be move powerful extension of knee and hip joint. 2. In last pull motion, One try to make more lock out motion than extra extention motion of hip joint 3. In order to enhance snatch skill, It is inportant that elevate barbell highly by last pull motion through powerful knee extention, poweful hip flextion and One should be make lock out motion fast in the same time. 4. In order to enhance snatch skill, anterior-posterior movement width of shoulder joint should be small. 5. In order to enhance snatch skill, Hip joint should be move vertically on start and lock out phase, but In pull phase, extension motion of hip joint shoulde be performed more largely and powerfully.

A Study on the Internal Grinding of Tungsten Carbide Materials to Improve the Machining Performance (초경합금재의 내면연삭에서 가공능률 향상에 관한 연구)

  • Heo, Seoung Jung
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.13 no.6
    • /
    • pp.52-58
    • /
    • 1996
  • This paper described on the effect of residual stocks in internal grinding of tungsten carbide materials in order to improve the grinding efficiency as well as grinding accuracy. Through the fundamental investigation is carried out for tungsten carbide materials using electroplated diamond wheel, the residual stock after grinding process is effective to the grinding efficiency. The obtained results are as follows: (1) Under the depth of cut(t) is constant and decreasing the workpiece velocity(Vw), the residual stock after grinding is increased, but the difference is little less than the difference by table speed. (2) Increasing the wheel velocity, the residual stock after grinding is decreased. Therefore in order to minimize the residual stock, the wheel velocity should be increased as far as possible. (3) The surface roughness and out-of roundness increased with depth of cut and table speed, and decreased with wheel velocity, but it may as well adopt as much as possible under the dimensional tolerance which is required for high efficiency grinding. (4) In order to remove residual stock, the spark-out grinding shoule be done, and it also can be improved about 20~25% throughout spark-out grinding, and the number of optimal spark-out times were within 10 times.

  • PDF

A Research on the 'Contracting Out' of Public Library Services in Korea (공공도서관 위탁경영의 현상과 과제에 관한 고찰)

  • Kwack, Dong-Chul
    • Journal of Korean Library and Information Science Society
    • /
    • v.38 no.3
    • /
    • pp.383-404
    • /
    • 2007
  • The purpose of this research is to analyze the trend and performances of contracting out the management of public libraries in Korea, in order to help promote the effectiveness and efficiencies of their operations. In this research, Investigated in detail are the following issues: First, the need for and background of contracting out public library services and their present state in Korea; Second, the pros and cons of contracting out public library services; and finally, based on the aforementioned findings, a future model of 'contracting out' public library services is proposed in order to further the effective management of public libraries in Korea.

  • PDF

VHDL Design for Out-of-Order Superscalar Processor of A Fully Pipelined Scheme (완전한 파이프라인 방식의 비순차실행 수퍼스칼라 프로세서의 VHDL 설계)

  • Lee, Jongbok
    • The Journal of the Institute of Internet, Broadcasting and Communication
    • /
    • v.21 no.1
    • /
    • pp.99-105
    • /
    • 2021
  • Today, a superscalar processor is the basic unit or an essential component of a multi-core processor, SoCs, and GPUs. Hence, a high-performance out-of-order superscalar processor must be adopted for these systems to maximize its performance. The superscalar processor fetches, issues, executes, and writes back multiple instructions per cycle by utilizing reorder buffers and reservation stations to dynamically schedule instructions in a pipelined scheme. In this paper, a fully pipelined out-of-order superscalar processor with speculative execution is designed with VHDL and verified with GHDL. As a result of the simulation, the program composed of ARM instructions is successfully performed.

FPGA Design and Implementation of A Pipelined Out-of-Order Superscalar Processor (파이프라인식 비순차실행 수퍼스칼라 프로세서의 FPGA 설계 및 구현)

  • Jongbok Lee
    • The Journal of the Institute of Internet, Broadcasting and Communication
    • /
    • v.23 no.3
    • /
    • pp.153-158
    • /
    • 2023
  • Domestically, the importance of system semiconductor design is increasing, and the balanced development with the high-end memory semiconductors should be promoted. Using Xilinx Vivado as a development enivronment tool, it reduces time and cost dramatically in implementing the processor on FPGA. In this paper, the VHDL language which provides record data structure for an efficient digital system design is used for designing a pipelined out-of-order superscalar processor. It has been simulated extensively, synthesized and implemented on FPGA and verified by Integrated Logic Analyzer. As a result, the pipelined out-of-order superscalar processor could be executed successfully.

Performance Analysis of Multicore Out-of-Order Superscalar Processor with Multiple Basic Block Execution (다중블럭을 실행하는 멀티코어 비순차 수퍼스칼라 프로세서의 성능 분석)

  • Lee, Jong Bok
    • Journal of Korea Multimedia Society
    • /
    • v.16 no.2
    • /
    • pp.198-205
    • /
    • 2013
  • In this paper, the performance of multicore processor architecture is analyzed which utilizes out-of-order superscalar processor core using multiple basic block execution. Using SPEC 2000 benchmarks as input, the trace-driven simulation has been performed for the out-of-order superscalar processor with the window size from 32 to 64 and the number of cores between 1 and 16, exploiting multiple basic block execution from 1 to 4 extensively. As a result, the multicore out-of-order superscalar processor with 4 basic block execution achieves 22.0 % average performance increase over the same architecture with the single basic block execution.

Research on Conditional Execution Out-of-order Instruction Issue Microprocessor Using Register Renaming Method (레지스터 리네이밍 방법을 사용하는 조건부 실행 비순차적 명령어 이슈 마이크로프로세서에 관한 연구)

  • 최규백;김문경;홍인표;이용석
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.28 no.9A
    • /
    • pp.763-773
    • /
    • 2003
  • In this paper, we present a register renaming method for conditional execution out-of-order instruction issue microprocessors. Register renaming method reduces false data dependencies (write after read(WAR) and write after write(WAW)). To implement a conditional execution out-of-order instruction issue microprocessor using register renaming, we use a register file which includes both in-order state physical registers and look-ahead state physical registers to share all logical registers. And we design an in-order state indicator, a renaming state indicator, a physical register assigning indicator, a condition prediction buffer and a reorder buffer. As we utilize the above hardwares, we can do register renaming and trace the in-order state. In this paper, we present an improved register renaming method using smaller hardware resources than conventional register renaming method. And this method eliminates an associative lookup and provides a short recovery time.

A Survey on the Actual Condition for Dining-out in Busan 4. The Propensity to Dining-out at Suppertime (부산지역의 외식실태조사 4. 저녁때의 외식성향)

  • 김두진
    • The Korean Journal of Food And Nutrition
    • /
    • v.15 no.4
    • /
    • pp.357-363
    • /
    • 2002
  • This study was conducted to find out the actual condition of dining-out and the propensity to dining-out at suppertime according to gender and age in Busan area. The survey was conducted on 792 peoples who live or work in Busan area. The results of the questionnaires are as follows ; The place to dining-out at suppertime were in order of “downtown”and “about the house”Partner to eating-out were in order of “friend” and “family”. Restaurants used frequently at suppertime were in order of “Kalbi R.”, “Korean style R.” and “Sliced raw fish R.”The favorite menu were in order to “So-kalbi”, “Sliced raw fish”and “Pizza” Drinking with a meal was 76.7% of respondents and the kind of liquor frequetly drinking were in order of “Soju” and “Beer” at suppertime. But, the results of the propensity to dining-out were different according to gender and age groups.

A Fundamental Study on the Internal Grinding of Tungste Carbide Materials for Metal Mould to Improve the Machining Performance (금형용 초경합금재의 내면연삭 가공능률향상에 관한 기초적 연구)

  • 허성중;이규천;김영일;김원일
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 1996.04a
    • /
    • pp.39-43
    • /
    • 1996
  • This paper described on the effect of residual stocks in internal grinding of tungsten carbide materials in order to improve the grinding efficiency as well as grinding accuracy. Though the fundamental investigation is carried out for tungsten carbide materials using electroplated diamond wheel, the residual stock after grinding process is effective to the grinding effciiency. The obtained results are as follows: (1) Under the depth of cut(t) is constant and decreasing the workpiece velocity(Vw), the resiudal stock after grinding is increased, but the difference is little less than the difference by table speed. (2) Increasing the wheel velocity, the residual stock after grinding is decreased. Therefore in order to minimize the residual stock, the wheel velocity should be increased as far as possible. (3) The surface foughness and out-of roundness increased with depth of cut and table speed, and decreased with wheel velocity, but it may as well adopt as much as polssible under the dimensional tolerance which is required for high efficiency grinding. (4) In order to remove residual stock, the spark-out grinding shoule be done, and it also can be improved about 20 .approx. 25% throughout spark-out grinding, and the number of optimal spark-out times were within 10 times.

  • PDF

Theroetical Study of the Nonlinear Optical Properties of Thiophene, Furan, Pyrrole, (1,2,4-triazole), (1,3,4-oxadiazole), and (1,3,4-thiadiazole) Monomers and Oligomers

  • 최우성;김태원;정승우;김철주
    • Bulletin of the Korean Chemical Society
    • /
    • v.19 no.3
    • /
    • pp.299-307
    • /
    • 1998
  • PM3 semiempirical calculations were carried out to study the frequency-dependent nonlinear optical properties of thiophene, furan, pyrrole, (1,2,4)-triazole, (1,3,4)-oxadiazole, and (1,3,4)-thiadiazole monomers and oligomers. The longitudinal component, αxx, is the largest of three principle components. On the other hand, the out-of-plane component, αzz, is the smallest. Moreover, the out-of-plane component (αzz) of thiophene, furan, pyrrole, (1,2,4)-triazole, (1,3,4)-oxadiazole, and (1,3,4)-thiadiazole monomers show constant changes with increasing optical frequencies. The frequency-dependent first- order polarizabilities increase in the order: thiophene > (1,2,4)-triazole > pyrrole > furan > (1,3,4)-thiadiazole > (1,3,4)-oxa-diazole monomers and oligomers. The effects of β(-2ω;ω,ω) (SHG) shows a larger dispersion compared with (-ω;ω,0) (EOPE) and β(0;-ω,ω) (OR). The second- order polarizabilities of thiophene, furan, pyrrole, (1,2,4)-triazole, (1,3,4)-thiadiazole, and (1,3,4)-oxadiazole monomers for the various second- order effects have the order: β(-2ω;ω,ω) (SHG) > β(-ω;ω,0) (EOPE) > β(0;-ω,ω) (OR) and thiophene > pyrrole > (1,2,4)-triazole > furan > 1,3,4-thiadiazole > 1,3,4-oxadiazole monomers. The third- order polarizabilities for the various third- order effects have the following order: γ(-3ω;ω',ω,ω) (THG) > γ(-2ω;0,ω,ω) (EFISHG) > γ(-ω;ω',-ω,ω) (IDRI) > γ(-ω;0,0,ω) (OKE). The effects of THG increase rapidly with increasing optical frequencies compared with the other effects. In particular, OKE effects increase most slowly with increasing optical frequencies. Also, the effects of THG for thiophene, furan, pyrrole, (1,2,4)-triazole, (1,3,4)-thiadiazole, and (1,3,4)-oxadiazole oligomers show the order thiophene > (1,2,4)-triazole > furan > pyrrole > (1,3,4)-thiadiazole > (1,3,4)-oxadiazole oligomers. In particular, the third- order polarizabilities of thiophene and (1,3,4)-thiadiazole oligomers are about four and three times larger than those of (1,3,4)-oxadiazole and (1,2,4)-triazole oligomer, respectively.