• Title/Summary/Keyword: mask process

Search Result 688, Processing Time 0.033 seconds

PM OLED Fabrication with New Method of Metal Cathode Deposition Using Shadow Mask

  • Lee, Ho-Chul;Kang, Seong-Jong;Yi, Jung-Yoon;Kim, Ho-Eoun;Kwon, Oh-June;Hwang, Jo-Il;Kim, Jeong-Moon;Roh, Byeong-Gyu;Kim, Woo-Young
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.987-989
    • /
    • 2006
  • 1.52" $130(RGB){\times}130$ full color PM OLED device with $70\;{\mu}m{\times}210\;{\mu}m$ of sub-pixel pitch was fabricated using shadow mask method for metal cathode deposition. Instead of conventional patterning process to form cathode separator via photolithography, regularly patterned shadow mask was applied to deposit metal cathode in this OLED display. Metal cathode was patterned via 2-step evaporation using shadow mask with shape of rectangular stripe and its alignment margin is $2.5\;{\mu}m$. Technical advantages of this method include reduction of process time according to skipping over photolithographic process for cathode separator and minimizing pixel shrinkage caused by PR cathode separator as well as improving lifetime of OLED device.

  • PDF

Nanoscale Fabrication in Aqueous Solution using Tribo-Nanolithography

  • Park, Jeong-Woo;Lee, Deug-Woo;Kawasegi, Noritaka;Morita, Noboru
    • International Journal of Precision Engineering and Manufacturing
    • /
    • v.7 no.4
    • /
    • pp.8-13
    • /
    • 2006
  • Nanoscale fabrication of silicon substrate in an aqueous solution based on the use of atomic force microscopy was demonstrated. A specially designed cantilever with a diamond tip, allowing the formation of a mask layer on the silicon substrate by a simple scratching process (Tribo-Nanolithography, TNL), has been applied instead of the conventional silicon cantilever for scanning. A slant nanostructure can be fabricated by a process in which a thin mask layer rapidly forms on the substrate at the diamond tip-sample junction along scanning path of the tip, and simultaneously, the area uncovered with the mask layer is etched. This study demonstrates how the TNL parameters can affect the formation of the mask layer and the shape of 3-D structure, hence introducing a new process of AFM-based nanolithography in aqueous solution.

Modeling and Simulation of Line Edge Roughness for EUV Resists

  • Kim, Sang-Kon
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.1
    • /
    • pp.61-69
    • /
    • 2014
  • With the extreme ultraviolet (EUV) lithography, the performance limit of chemically amplified resists has recently been extended to 16- and 11-nm nodes. However, the line edge roughness (LER) and the line width roughness (LWR) are not reduced automatically with this performance extension. In this paper, to investigate the impacts of the EUVL mask and the EUVL exposure process on LER, EUVL is modeled using multilayer-thin-film theory for the mask structure and the Monte Carlo (MC) method for the exposure process. Simulation results demonstrate how LERs of the mask transfer to the resist and the exposure process develops the resist LERs.

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

Gate CD Control for memory Chip using Total Process Proximity Based Correction Method

  • Nam, Byung--Ho;Lee, Hyung-J.
    • Journal of the Optical Society of Korea
    • /
    • v.6 no.4
    • /
    • pp.180-184
    • /
    • 2002
  • In this study, we investigated mask errors, photo errors with attenuated phase shift mask and off-axis illumination, and etch errors in dry etch conditions. We propose that total process proximity correction (TPPC), a concept merging every process step error correction, is essential in a lithography process when minimum critical dimension (CD) is smaller than the wavelength of radiation. A correction rule table was experimentally obtained applying TPPC concept. Process capability of controlling gate CD in DRAM fabrication should be improved by this method.

The characteristics and optimization of submicron optical mask using electromagnetic scattering effect (전자기파 산란을 이용한 Submicron 광학 MASK의 특성 및 최적화)

  • 최준규;박정보;김유석;이성묵
    • Korean Journal of Optics and Photonics
    • /
    • v.8 no.4
    • /
    • pp.345-352
    • /
    • 1997
  • Recently, in designing optical mask such as 4GDRAM, the scattering effect of electromagnetic wave must be considered. For this reason we claculated directly the mask function using the finite difference time domain(FDTD) method. The modification of image theory with this new mask function could explain clearly the scattering effect at the etched side wall of the submicron optical mask. The characteristics of the various type of alternating PSM were investigated. According to the simulation, the dual wet etch process was the most useful fabrication technique to overcoe the light scattering off at the shifted opening.

  • PDF

A Study on the Mo Sputtering and HF Wet Etching for the Fabrication of Polisher (광택기 제조를 목적으로 한 스퍼터링을 이용한 Mo 증착과 불산 습식 식각 특성 연구)

  • Kim, Do-Hyoung;Lee, Ho-Deok;Kwon, Sang-Jik;Cho, Eou-Sik
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.4
    • /
    • pp.16-19
    • /
    • 2017
  • For the economical and environmental-friendly fabrication of polisher, Mo mask layer were sputtered on glass substrate instead of Cr mask material. Mo mask layers were sputtered by pulsed-DC sputtering and Photoresist patterns were formed on Mo mask layer for different develop times and optimized. After Mo mask layer were patterned and exposed glass was wet etched by HF solution for different etching times, the remaining Mo mask was stripped by using Al etchant. Develop time of 30 sec and HF wet etching time of 3 min were selected as optimized process condition and applied to the fabrication of polisher.

  • PDF

Facilitation of the four-mask process by the double-layered Ti/Si barrier metal for oxide semiconductor TFTs

  • Hino, Aya;Maeda, Takeaki;Morita, Shinya;Kugimiya, Toshihiro
    • Journal of Information Display
    • /
    • v.13 no.2
    • /
    • pp.61-66
    • /
    • 2012
  • The double-layered Ti/Si barrier metal is demonstrated for the source/drain Cu interconnections in oxide semiconductor thin-film transistors (TFTs). The transmission electromicroscopy and ion mass spectroscopy analyses revealed that the double-layered barrier structure suppresses the interfacial reaction and the interdiffusion at the interface after thermal annealing at $350^{\circ}C$. The underlying Si layer was found to be very useful for the etch stopper during wet etching for the Cu/Ti layers. The oxide TFTs with a double-layered Ti/Si barrier metal possess excellent TFT characteristics. It is concluded that the present barrier structure facilitates the back-channel-etch-type TFT process in the mass production line, where the four- or five-mask process is used.

Refilled mask structure for Minimizing Shadowing Effect on EUV Lithography

  • Ahn, Jin-Ho;Shin, Hyun-Duck;Jeong, Chang-Young
    • Journal of the Semiconductor & Display Technology
    • /
    • v.9 no.4
    • /
    • pp.13-18
    • /
    • 2010
  • Extreme ultraviolet (EUV) lithography using 13.5 nm wavelengths is expected to be adopted as a mass production technology for 32 nm half pitch and below. One of the new issues introduced by EUV lithography is the shadowing effect. Mask shadowing is a unique phenomenon caused by using mirror-based mask with an oblique incident angle of light. This results in a horizontal-vertical (H-V) biasing effect and ellipticity in the contact hole pattern. To minimize the shadowing effect, a refilled mask is an available option. The concept of refilled mask structure can be implemented by partial etching into the multilayer and then refilling the trench with an absorber material. The simulations were carried out to confirm the possibility of application of refilled mask in 32 nm line-and-space pattern under the condition of preproduction tool. The effect of sidewall angle in refilled mask is evaluated on image contrast and critical dimension (CD) on the wafer. We also simulated the effect of refilled absorber thickness on aerial image, H-V CD bias, and overlapping process window. Finally, we concluded that the refilled absorber thickness for minimizing shadowing effect should be thinner than etched depth.