• 제목/요약/키워드: mask

검색결과 2,748건 처리시간 0.03초

유아동 마스크 선호도 및 착용 만족도 분석에 관한 연구 (A Study on Design Preference and Wearing Satisfaction for Children's Masks)

  • 김지은;이은영
    • 한국의류산업학회지
    • /
    • 제25권1호
    • /
    • pp.82-91
    • /
    • 2023
  • The children who are part of this study are compelled to wear masks at educational facilities for an extended period of time as they continue to be exposed to Asian dust, fine dust, and COVID-19. However, use of masks is currently causing them a lot of inconvenience. This study aimed to gather basic data for the development of a mask that is suitable and comfortable for children to wear. A total of 331 children aged 1 to 9 were investigated through their parents in terms of their lifestyle, mask wearing and purchasing status, mask preferences, mask inconvenience, and mask improvement. According to the survey on mask use, the proportion of children aged 1-3 years old and wearing ultra-small/XS masks, 4-6 year olds wearing small/S, and 7-9 year olds wearing small/S was the highest. More than 80% of children were wearing masks with a standard filter of KF80 or higher. The purchase criteria for children's masks were found to be excellent in terms of wearing comfort and meeting the filter standards. According to the survey on inconvenience of wearing masks, the majority of those surveyed expressed the need to develop children's masks of different sizes. Furthermore, they experienced various kinds of inconveniences from adult masks, such as the material quality and length of earring bands; it was deduced that these aspects need to be taken care of. The vertical folding type was the most popular in the mask design for children. Children have to wear masks for a prolonged period of time, but they are experiencing lot of inconvenience, which need to be addressed.

유한요소법에 의한 평면 TV 새도우마스크의 열변형해석 및 전자빔 오착 예측 (Thermal Deformation Analysis of Shadow Mask in a Flat TV and Prediction of Electron Beam Landing Shift by FEM)

  • 김정;박수길;강범수
    • 대한기계학회논문집A
    • /
    • 제26권11호
    • /
    • pp.2297-2304
    • /
    • 2002
  • Two-dimensional and three-dimensional finite element methods have been used to analyze the deformation behavior of a shadow mask due to thermal and tension load. The shadow mask inside the Braun tube of a TV set has numerous slits through which the electron beams are guided to land on the designed phosphor of red, green or blue. Its thermal deformation therefore causes landing shift of the electron beam and results in decolorization of a screen. For the realistic finite element analysis, the effective thermal conductivity and the effective elastic modulus arc calculated, and then the shadow mask is modeled as shell without slits. Next a transient thermal analysis of the shadow mask is performed, wherein thermal radiation is a major heat transfer mechanism. Analysis of the resulting thermal deformation is followed, from which the landing shift of the electron beam is obtained. The present finite element scheme may be efficiently used to reduce thermal deformation of a shadow mask and in developing prototypes of a large screen flat TV.

호흡기보호구의 밀착계수와 안면구조의 관계 (Correlation of Fit Factors for Respirators and Anthropometric Dimension)

  • 한돈희
    • Journal of Preventive Medicine and Public Health
    • /
    • 제31권3호
    • /
    • pp.440-448
    • /
    • 1998
  • In many developed countries, for example, USA, respirator fit testing is required before entering specific work environment to ensure that the respirator worn satisfies a minimum of fit and that the user knows when the respirator fits properly. Unfortunately because we have not fit test regulation in Korea, a lot of workers wearing respirators may be potentially exposed to hazards. This study was conducted to evaluate the fitting performance for respirators and correlation fit factors with facial dimensions of wearers. 110 subjects (70 males, 40 females) were fit tested for three quarter masks, i.e., two domestic-made Mask 2, and Y and one foreign-made Mask T using PortaCount 8020. A facial dimension survey of the same subjects was conducted to develop a facial dimension grids fer correlation fit factors with facial dimension parameters. A facial dimension grid was developed on the basis of face length and lip length for quarter masks. The results obtained were as follows : 1 Fit factors of Mask T were much higher than those of Masks Z, and Y. 2. Males were fitted more properly than females. 3. Male in box 'f' of grid would be adequately fitted Mask Y and male in box 'b', 'e', 'f', 'h' of grid would be sufficiently fitted Mask T. Female in box 'h' of grid may have a good fitting performance for both Mask Y, and T. But subjects in all boxes of grid would be inadequately fitted Mask Z.

  • PDF

현대 패션에 나타난 가면의 형태와 특성 (The Styles and Characteristics of Masks as Expressed in Modern Fashion)

  • 김선영
    • 복식
    • /
    • 제58권4호
    • /
    • pp.13-25
    • /
    • 2008
  • This study is on the various style and characteristics of mask, and was performed empirically by reviewing the related materials such as the literature, precedent studies, fashion works, and home and foreign fashion magazines. As a result of the study, the style of mask in the modern fashion is roughly categorized by full-covered style, half-covered style, and over-half-covered style which is covered over 50% of a face. And, mask is utilized on a hat or a dress all over, or is produced by a elaborate makeup. Mask with lots of variation has three big characteristics, which are sense of disguise, sense of ornamentation, sense of grotesquery. First, sense of disguise means deviation or tool of affectation instead of cultural standard norm through transforming or masquerading as an imagery person or animal in ancient myths, famous artistes, etc. It could be developed to express a designer's identity. Second, mask decorated with various styles and materials has sense of ornamentation, which means natural human desire of expression for beauty, and at the same time human mind longing for experience a fantastic and ideal inner world being deviated from the present world even indirectly. Third, ignoring the original format of eyes, nose, and mouth, using extraordinarily various techniques such as distortion, extreme, exaggeration, concealment, or combining with animal images, mask has sense of grotesquery inducing humor and horror simultaneously.

In-situ SiN 박막을 이용하여 성장한 GaN 박막 및 LED 소자 특성 연구 (A Study of Properties of GaN and LED Grown using In-situ SiN Mask)

  • 김덕규;유인성;박춘배
    • 한국전기전자재료학회논문지
    • /
    • 제18권10호
    • /
    • pp.945-949
    • /
    • 2005
  • We have grown GaN layers with in-situ SiN mask by metal organic chemical vapor deposition (MOCVD) and study the physical properties of the GaN layer. We have also fabricate PN junction light emitting diode (LED) to investigate the effect of the SiN mask on its optical property By inserting a SiN mask, (102) the full width at half maximum (FWHM) decreased from 480 arcsec to 409 arcsec and threading dislocation (TD) density decreased from $3.21{\times}10^9\;cm^{-2}$ to $9.7{\times}10^8\;cm^{-2}$. The output power of the LED with a SiN mask increased from 198 mcd to 392 mcd at 20 mA. We have thus shown that the SiN mask improved significantly the physical and optical properties of the GaN layer.

Cl2/HBr/CF4 반응성 이온 실리콘 식각 후 감광막 마스크 제거 (Removal of Photoresist Mask after the Cl2/HBr/CF4 Reactive Ion Silicon Etching)

  • 하태경;우종창;김관하;김창일
    • 한국전기전자재료학회논문지
    • /
    • 제23권5호
    • /
    • pp.353-357
    • /
    • 2010
  • Recently, silicon etching have received much attention for display industry, nano imprint technology, silicon photonics, and MEMS application. After the etching process, removing of etch mask and residue of sidewall is very important. The investigation of the etched mask removing was carried out by using the ashing, HF dipping and acid cleaning process. Experiment shows that oxygen component of reactive gas and photoresist react with silicon and converting them into the mask fence. It is very difficult to remove by using ashing or acid cleaning process because mask fence consisted of Si and O compounds. However, dilute HF dipping is very effective process for SiOx layer removing. Finally, we found optimized condition for etched mask removing.

크리프에 따른 CRT 텐션 마스크의 장력 이완 (Creep-Induced Tension Loosening of CRT Tension Mask)

  • 정일섭
    • 대한기계학회논문집A
    • /
    • 제27권6호
    • /
    • pp.1034-1040
    • /
    • 2003
  • Tension mask is a part of CRT type devices, which is installed right behind glass-made front panel. Numerous slits on the thin metal sheet enable the electron beams emitted from posterior gun to be focused, resulting in enhanced definition. Flattened and enlarged displays necessitate the imposition of pretension on the masks, in order to improve the robustness of display quality against vibration or impact. High temperature assembly process subsequent to pretensioning, however, degenerates creep resistance of mask material, and common mask may become susceptible to undesirable elongation due to creep. Once tensile stress becomes high enough to induce creep deformation, pretension is substantially loosened. In this study, tension mask assembly is modeled as a combined structure of beams and wire array, and a numerical simulation is attempted for pretensioning followed by high temperature process. Based on a model study, creep occurrence is found to be probable and its adverse influence is quantified. As fur maintaining high tensile force, simply increasing pretension does not seem to be helpful. Instead, the structure of frame needs to be modified somehow, or material for mask needs to be selected properly.

EFFECT OF PLASTICIZERS ON THE PROPERTIES OF COSMETIC MASK USING POLYVINYL ALCOHOL(PVA) AS A FILM FORMER

  • Park, J.H.;Kyong, K.Y.;Kwak, T.J.;Yoon, M.S.;Kang, S.H.
    • 대한화장품학회지
    • /
    • 제25권4호
    • /
    • pp.51-55
    • /
    • 1999
  • Cosmetic mask is one of the traditional cosmetic product and is used to keep skin healthy and beautiful through moisturizing effect, cleansing effect and acceleration effect on microcirculation. There are several types of cosmetic mask such as peel-off type, tissue-off type, wash-off type and cotton sheet type immersed in cosmetic water. It is important for cosmetic formulators to develop an adequate type of cosmetic mask that meets the needs of the target consumers because each type of cosmetic mask has benefits and problems in its usage and efficacy. Polyvinyl alcohol (PVA) is widely used as an active ingredient of peel-off type cosmetic mask and the physical property of the PVA film changes with the usage of other ingredients. We studied the change of physical properties of the film containing PVA by formulating peel-off type cosmetic mask with the variation of humectants. Methylguceth-20 and glycereth-26 reduce the interaction between skin surface and PVA film without serious weakening of tensile strength and they can alleviate irritation. Glycerin, urea and betaine help PVA film soften and they also have excellent efficacy as humectants.

  • PDF

스크린 인쇄용 미세 범프 금속마스크의 변형특성 해석 (Deformation Analysis of a Metal Mask for the Screen Printing of Micro Bumps)

  • 이기연;이혜진;김종봉;박근
    • 한국생산제조학회지
    • /
    • 제21권3호
    • /
    • pp.408-414
    • /
    • 2012
  • Screen printing is a printing method that uses a woven mesh to support an ink-blocking stencil by transferring ink or other printable materials in order to form an image onto a substrate. Recently, the screen printing method has applied to micro-electronic packaging by using solder paste as a printable material. For the screen printing of solder paste, metal masks containing a number of micro-holes are used as a stencil material. The metal mask undergoes deformation when it is installed in the screen printing machine, which results in the deformation of micro-holes. In the present study, finite element (FE) analysis was performed to predict the amount of deformation of a metal mask. For an efficient calculation of the micro-holes of the metal mask, the sub-domain analysis method was applied to perform FE analyses connecting the global domain (the metal mask) and the local domain (micro-holes). The FE analyses were then performed to evaluate the effects of slot designs on the deformation characteristics, from which more uniform and adjustable deformation of the metal mask can be obtained.

위상변위 극자외선 마스크의 흡수체 패턴의 기울기에 대한 오차허용도 향상 (Improved Margin of Absorber Pattern Sidewall Angle Using Phase Shifting Extreme Ultraviolet Mask)

  • 장용주;김정식;홍성철;안진호
    • 반도체디스플레이기술학회지
    • /
    • 제15권2호
    • /
    • pp.32-37
    • /
    • 2016
  • Sidewall angle (SWA) of an absorber stack in extreme ultraviolet lithography mask is considered to be $90^{\circ}$ ideally, however, it is difficult to obtain $90^{\circ}$ SWA because absorber profile is changed by complicated etching process. As the imaging performance of the mask can be varied with this SWA of the absorber stack, more complicated optical proximity correction is required to compensate for the variation of imaging performance. In this study, phase shift mask (PSM) is suggested to reduce the variation of imaging performance due to SWA change by modifying mask material and structure. Variations of imaging performance and lithography process margin depending on SWA were evaluated through aerial image and developed resist simulations to confirm the advantages of PSM over the binary intensity mask (BIM). The results show that the variations of normalized image log slope and critical dimension bias depending on SWA are reduced with PSM compared to BIM. Process margin for exposure dose and focus was also improved with PSM.