• Title/Summary/Keyword: layer by layer

Search Result 24,289, Processing Time 0.049 seconds

Study on the Wear Resistant Characteristics of Medium Carbon Alloy Steel Plasma-Nitrided (플라즈마 질화처리된 중탄소합금강의 내마모특성에 관한 연구)

  • Cho, H.S.;Roh, Y.S.;Shin, H.K.;Lee, S.Y.
    • Journal of the Korean Society for Heat Treatment
    • /
    • v.5 no.4
    • /
    • pp.215-223
    • /
    • 1992
  • This study has been performed to investigate into some effects of temperature, gas mixing ratio and time on the optical microstructure, hardness and wear characteristics of medium carbon alloy steel treated by plasma nitriding. The results obtained from the experiment are summarized as follows: (1) Optical micrographs of AISI 4140 steel plasma-nitrided by the double stage technique have revealed that the nitrided layer is composed of the compound layer and the diffusion layer. The variation in temperature at the first stage gives effects, on the formation of compound layer and the growth rate is shown to be relatively fast at $460^{\circ}C$. (2) The thickness of compound layer has been found to increase with increasing nitrogen percentage in the gas mixture and the holding time. It is therefore recommended that a shorter holding time and a lower nitrogen percentage are more effective to produce a tougher compound layer and a diffusion layer only. (3) X-ray diffraction analysis for AISI 4140 steel has shown that the compound layer consist of ${\gamma}^{\prime}-Fe_4N$ and ${\alpha}-Fe$ and that tough compound layer diffustion layer only can be obtained by the double stage plasmanitriding process. (4) There is also a tendency that the total hardened layer depth increases with increasing temperature, time and nitrogen percentage in the first stage during the double stage plasma nitriding. (5) The wear resistance of plasma nitrided specimens has been found thobe considerably increased compared to the untreated specimens and the amount of increment has appeared to increase further with increasing nitriding temperature, holding time and notrogen percentage of gas mixture in the first stage treatment.

  • PDF

The Influence of Deposition Temperature of ALD n-type Buffer ZnO Layer on Device Characteristics of Electrodeposited Cu2O Thin Film Solar Cells (ALD ZnO 버퍼층 증착 온도가 전착 Cu2O 박막 태양전지 소자 특성에 미치는 영향)

  • Cho, Jae Yu;Tran, Man Hieu;Heo, Jaeyeong
    • Current Photovoltaic Research
    • /
    • v.6 no.1
    • /
    • pp.21-26
    • /
    • 2018
  • Beside several advantages, the PV power generation as a clean energy source, is still below the supply level due to high power generation cost. Therefore, the interest in fabricating low-cost thin film solar cells is increasing continuously. $Cu_2O$, a low cost photovoltaic material, has a wide direct band gap of ~2.1 eV has along with the high theoretical energy conversion efficiency of about 20%. On the other hand, it has other benefits such as earth-abundance, low cost, non-toxic, high carrier mobility ($100cm^2/Vs$). In spite of these various advantages, the efficiency of $Cu_2O$ based solar cells is still significantly lower than the theoretical limit as reported in several literatures. One of the reasons behind the low efficiency of $Cu_2O$ solar cells can be the formation of CuO layer due to atmospheric surface oxidation of $Cu_2O$ absorber layer. In this work, atomic layer deposition method was used to remove the CuO layer that formed on $Cu_2O$ surface. First, $Cu_2O$ absorber layer was deposited by electrodeposition. On top of it buffer (ZnO) and TCO (AZO) layers were deposited by atomic layer deposition and rf-magnetron sputtering respectively. We fabricated the cells with a change in the deposition temperature of buffer layer ranging between $80^{\circ}C$ to $140^{\circ}C$. Finally, we compared the performance of fabricated solar cells, and studied the influence of buffer layer deposition temperature on $Cu_2O$ based solar cells by J-V and XPS measurements.

Boron Doping Method Using Fiber Laser Annealing of Uniformly Deposited Amorphous Silicon Layer for IBC Solar Cells (IBC형 태양전지를 위한 균일하게 증착된 비정질 실리콘 층의 광섬유 레이저를 이용한 붕소 도핑 방법)

  • Kim, Sung-Chul;Yoon, Ki-Chan;Kyung, Do-Hyun;Lee, Young-Seok;Kwon, Tae-Young;Jung, Woo-Won;Yi, Jun-Sin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.456-456
    • /
    • 2009
  • Boron doping on an n-type Si wafer is requisite process for IBC (Interdigitated Back Contact) solar cells. Fiber laser annealing is one of boron doping methods. For the boron doping, uniformly coated or deposited film is highly required. Plasma enhanced chemical vapor deposition (PECVD) method provides a uniform dopant film or layer which can facilitate doping. Because amorphous silicon layer absorption range for the wavelength of fiber laser does not match well for the direct annealing. In this study, to enhance thermal affection on the existing p-a-Si:H layer, a ${\mu}c$-Si:H intrinsic layer was deposited on the p-a-Si:H layer additionally by PECVD. To improve heat transfer rate to the amorphous silicon layer, and as heating both sides and protecting boron eliminating from the amorphous silicon layer. For p-a-Si:H layer with the ratio of $SiH_4$ : $B_2H_6$ : $H_2$ = 30 : 30 : 120, at $200^{\circ}C$, 50 W, 0.2 Torr for 30 minutes, and for ${\mu}c$-Si:H intrinsic layer, $SiH_4$ : $H_2$ = 10 : 300, at $200^{\circ}C$, 30 W, 0.5 Torr for 60 minutes, 2 cm $\times$ 2 cm size wafers were used. In consequence of comparing the results of lifetime measurement and sheet resistance relation, the laser condition set of 20 ~ 27 % of power, 150 ~ 160 kHz, 20 ~ 50 mm/s of marking speed, and $10\;{\sim}\;50 {\mu}m$ spacing with continuous wave mode of scanner lens showed the correlation between lifetime and sheet resistance as $100\;{\Omega}/sq$ and $11.8\;{\mu}s$ vs. $17\;{\Omega}/sq$ and $8.2\;{\mu}s$. Comparing to the singly deposited p-a-Si:H layer case, the additional ${\mu}c$-Si:H layer for doping resulted in no trade-offs, but showed slight improvement of both lifetime and sheet resistance, however sheet resistance might be confined by the additional intrinsic layer. This might come from the ineffective crystallization of amorphous silicon layer. For the additional layer case, lifetime and sheet resistance were measured as $84.8\;{\Omega}/sq$ and $11.09\;{\mu}s$ vs. $79.8\;{\Omega}/sq$ and $11.93\;{\mu}s$. The co-existence of $n^+$layeronthesamesurfaceandeliminating the laser damage should be taken into account for an IBC solar cell structure. Heavily doped uniform boron layer by fiber laser brings not only basic and essential conditions for the beginning step of IBC solar cell fabrication processes, but also the controllable doping concentration and depth that can be established according to the deposition conditions of layers.

  • PDF

Stability Assessment of Lead Sulfide Colloidal Quantum Dot Based Schottky Solar Cell

  • Song, Jung-Hoon;Kim, Jun-Kwan;An, Hye-Jin;Choi, Hye-Kyoung;Jeong, So-Hee
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.413-413
    • /
    • 2012
  • Lead sulfide (PbS) Colloidal quantum dots (CQDs) are promising material for the photovoltaic device due to its various outstanding properties such as tunable band-gap, solution processability, and infrared absorption. More importantly, PbS CQDs have large exciton Bohr radius of 20 nm due to the uniquely large dielectric constants that result in the strong quantum confinement. To exploit desirable properties in photovoltaic device, it is essential to fabricate a device exhibiting stable performance. Unfortunately, the performance of PbS NQDs based Schottky solar cell is considerably degraded according to the exposure in the air. The air-exposed degradation originates on the oxidation of interface between PbS NQDS layer and metal electrode. Therefore, it is necessary to enhance the stability of Schottky junction device by inserting a passivation layer. We investigate the effect of insertion of passivation layer on the performance of Schottky junction solar cells using PbS NQDs with band-gap of 1.3 eV. Schottky solar cell is the simple photovoltaic device with junction between semiconducting layer and metal electrode which a significant built-in-potential is established due to the workfunction difference between two materials. Although the device without passivation layer significantly degraded in several hours, considerable enhancement of stability can be obtained by inserting the very thin LiF layer (<1 nm) as a passivation layer. In this study, LiF layer is inserted between PbS NQDs layer and metal as an interface passivation layer. From the results, we can conclude that employment of very thin LiF layer is effective to enhance the stability of Schottky junction solar cells. We believe that this passivation layer is applicable not only to the PbS NQDs based solar cell, but also the various NQDs materials in order to enhance the stability of the device.

  • PDF

Silicide Formation of Atomic Layer Deposition Co Using Ti and Ru Capping Layer

  • Yoon, Jae-Hong;Lee, Han-Bo-Ram;Gu, Gil-Ho;Park, Chan-Gyung;Kim, Hyung-Jun
    • Korean Journal of Materials Research
    • /
    • v.22 no.4
    • /
    • pp.202-206
    • /
    • 2012
  • $CoSi_2$ was formed through annealing of atomic layer deposition Co thin films. Co ALD was carried out using bis(N,N'-diisopropylacetamidinato) cobalt ($Co(iPr-AMD)_2$) as a precursor and $NH_3$ as a reactant; this reaction produced a highly conformal Co film with low resistivity ($50\;{\mu}{\Omega}cm$). To prevent oxygen contamination, $ex-situ$ sputtered Ti and $in-situ$ ALD Ru were used as capping layers, and the silicide formation prepared by rapid thermal annealing (RTA) was used for comparison. Ru ALD was carried out with (Dimethylcyclopendienyl)(Ethylcyclopentadienyl) Ruthenium ((DMPD)(EtCp)Ru) and $O_2$ as a precursor and reactant, respectively; the resulting material has good conformality of as much as 90% in structure of high aspect ratio. X-ray diffraction showed that $CoSi_2$ was in a poly-crystalline state and formed at over $800^{\circ}C$ of annealing temperature for both cases. To investigate the as-deposited and annealed sample with each capping layer, high resolution scanning transmission electron microscopy (STEM) was employed with electron energy loss spectroscopy (EELS). After annealing, in the case of the Ti capping layer, $CoSi_2$ about 40 nm thick was formed while the $SiO_x$ interlayer, which is the native oxide, became thinner due to oxygen scavenging property of Ti. Although Si diffusion toward the outside occurred in the Ru capping layer case, and the Ru layer was not as good as the sputtered Ti layer, in terms of the lack of scavenging oxygen, the Ru layer prepared by the ALD process, with high conformality, acted as a capping layer, resulting in the prevention of oxidation and the formation of $CoSi_2$.

Comparison of Corrosion Behavior of CrN Coated SUS316L with Different Layer Structure for Polymer Electrode Membrane Fuel Cell Bipolar Plate (CrN 코팅구조에 따른 Polymer Electrode Membrane Fuel Cell 금속분리판의 부식특성 비교)

  • Paik, Jung-Ho;Han, Won-Kyu;Kang, Sung-Goon
    • Korean Journal of Materials Research
    • /
    • v.20 no.4
    • /
    • pp.187-193
    • /
    • 2010
  • Chromium nitride (CrN) samples with two different layer structures (multilayer and single layer) were coated on bipolar plates of polymer electrolyte membrane fuel cells (PEMFC) using the reactive sputtering method. The effects with respect to layer structure on corrosion resistance and overall cell performance were investigated. A continuous and thin chromium nitride layer ($Cr_{0.48}\;N_{0.52}$) was formed on the surface of the SUS 316L when the nitrogen flow rate was 10 sccm. The electrochemical stability of the coated layers was examined using the potentiodynamic and potentiostatic methods in the simulated corrosive circumstances of the PEMFC under $80^{\circ}C$. Interfacial contact resistance (ICR) between the CrN coated sample and the gas diffusion layer was measured by using Wang's method. A single cell performance test was also conducted. The test results showed that CrN coated SUS316L with multilayer structure had excellent corrosion resistance compared to single layer structures and single cell performance results with $25\;cm^2$ in effective area also showed the same tendency. The difference of the electrochemical properties between the single and multilayer samples was attributed to the Cr interlayer layer, which improved the corrosion resistance. Because the coating layer was damaged by pinholes, the Cr layer prevented the penetration of corrosive media into the substrate. Therefore, the CrN with a multilayer structure is an effective coating method to increase the corrosion resistance and to decrease the ICR for metallic bipolar plates in PEMFC.

Effect of $Al_2O_3$ pre-layers formed using protective Si-oxide layer on the growth of ultra thin ${\gamma}-Al_2O_3$ epitaxial layer (보호용 실리콘 산화막을 이용하여 제조된 $Al_2O_3$ 예비층이 초박막 ${\gamma}-Al_2O_3$ 에피텍시의 성장에 미치는 영향)

  • Jung, Young-Chul;Jun, Bon-Keun;Ishida, Makoto
    • Journal of Sensor Science and Technology
    • /
    • v.9 no.5
    • /
    • pp.389-395
    • /
    • 2000
  • In this paper, we propose the formation of an $Al_2O_3$ pre-layer using a protective Si-oxide layer and Al layer. Deposition of a thin film layer of aluminum onto a Si surface covered with a thin Si-oxide layer and annealing at $800^{\circ}C$ led to the growth of epitaxial $Al_2O_3$ layer on Si(111). And ${\gamma}-Al_2O_3$ layer was grown on the $Al_2O_3$ per-layer. Etching of the Si substrate by $N_2O$ gas could be avoided in the initial growth stage by the $Al_2O_3$ pre-layer. It was confirmed that the $Al_2O_3$ pre-layer was effective in improving the surface morphology of the very thin ${\gamma}-Al_2O_3$ films.

  • PDF

Layer-specific cholinergic modulation of synaptic transmission in layer 2/3 pyramidal neurons of rat visual cortex

  • Cho, Kwang-Hyun;Lee, Seul-Yi;Joo, Kayoung;Rhie, Duck-Joo
    • The Korean Journal of Physiology and Pharmacology
    • /
    • v.23 no.5
    • /
    • pp.317-328
    • /
    • 2019
  • It is known that top-down associative inputs terminate on distal apical dendrites in layer 1 while bottom-up sensory inputs terminate on perisomatic dendrites of layer 2/3 pyramidal neurons (L2/3 PyNs) in primary sensory cortex. Since studies on synaptic transmission in layer 1 are sparse, we investigated the basic properties and cholinergic modulation of synaptic transmission in layer 1 and compared them to those in perisomatic dendrites of L2/3 PyNs of rat primary visual cortex. Using extracellular stimulations of layer 1 and layer 4, we evoked excitatory postsynaptic current/potential in synapses in distal apical dendrites (L1-EPSC/L1-EPSP) and those in perisomatic dendrites (L4-EPSC/L4-EPSP), respectively. Kinetics of L1-EPSC was slower than that of L4-EPSC. L1-EPSC showed presynaptic depression while L4-EPSC was facilitating. In contrast, inhibitory postsynaptic currents showed similar paired-pulse ratio between layer 1 and layer 4 stimulations with depression only at 100 Hz. Cholinergic stimulation induced presynaptic depression by activating muscarinic receptors in excitatory and inhibitory synapses to similar extents in both inputs. However, nicotinic stimulation enhanced excitatory synaptic transmission by ~20% in L4-EPSC. Rectification index of AMPA receptors and AMPA/NMDA ratio were similar between synapses in distal apical and perisomatic dendrites. These results provide basic properties and cholinergic modulation of synaptic transmission between distal apical and perisomatic dendrites in L2/3 PyNs of the visual cortex, which might be important for controlling information processing balance depending on attentional state.

GaN Grown Using Ti Metal Mask by HVPE(Hydride Vapor Phase Epitaxiy) (HVPE(Hydride Vapor Phase Epitaxiy) 성장법으로 Ti metal mask를 이용한 GaN 성장연구)

  • Kim, Dong-Sik
    • 전자공학회논문지 IE
    • /
    • v.48 no.2
    • /
    • pp.1-5
    • /
    • 2011
  • The epitaxial GaN layer of $120{\mu}m$ ~ $300{\mu}m$ thickness with a stripe Ti mask pattern is performed by hydride vapor phase epitaxy(HVPE). Ti strpie mask pattern is deposited by DC magnetron sputter on GaN epitaxial layer of $3{\mu}m$ thickness is grown by hydride vapor phase epitaxy(HVPE). Void are observed at point of Ti mask pattern when GaN layer is investigated by scanning electron microscope. The Crack of GaN layer is observed according to void when it is grown more thick GaN layer. The full width at half maximum of peak which is measured by X-ray diffraction is about 188 arcsec. It is not affected its crystallization by Ti meterial when GaN layer is overgrown on Ti stripe mask pattern according as it is measure FWHM of overgrowth GaN using Ti material against FWHM of first growth GaN epitaxial layer.

Properties of IZTO Thin Films on Glass with Different Thickness of SiO2 Buffer Layer

  • Park, Jong-Chan;Kang, Seong-Jun;Yoon, Yung-Sup
    • Journal of the Korean Ceramic Society
    • /
    • v.52 no.4
    • /
    • pp.290-293
    • /
    • 2015
  • The properties of the IZTO thin films on the glass were studied with a variation of the $SiO_2$ buffer layer thickness. $SiO_2$ buffer layers were deposited by plasma-enhanced chemical vapor deposition (PECVD) on the glass, and the In-Zn-Tin-Oxide (IZTO) thin films were deposited on the buffer layer by RF magnetron sputtering. All the IZTO thin films with the $SiO_2$ buffer layer are shown to be amorphous. Optimum $SiO_2$ buffer layer thickness was obtained through analyzing the structural, morphological, electrical, and optical properties of the IZTO thin films. As a result, the IZTO surface roughness is 0.273 nm with a sheet resistance of $25.32{\Omega}/sq$ and the average transmittance is 82.51% in the visible region, at a $SiO_2$ buffer layer thickness of 40 nm. The result indicates that the uniformity of surface and the properties of the IZTO thin film on the glass were improved by employing the $SiO_2$ buffer layer and the IZTO thin film can be applied well to the transparent conductive oxide for display devices.