• Title/Summary/Keyword: etching mask

Search Result 234, Processing Time 0.024 seconds

Deep X-ray Mask with Integrated Micro-Actuator for 3D Microfabrication via LIGA Process (3차원 LIGA 미세구조물 제작을 위한 마이크로 액추에이터 내장형 X-선 마스크)

  • Lee, Kwang-Cheol;Lee, Seung-S.
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.26 no.10
    • /
    • pp.2187-2193
    • /
    • 2002
  • We present a novel method for 3D microfabrication with LIGA process that utilizes a deep X-ray mask in which a micro-actuator is integrated. The integrated micro-actuator oscillates the X-ray absorber, which is formed on the shuttle mass of the micro-actuator, during X-ray exposures to modify the absorbed dose profile in X-ray resist, typically PMMA. 3D PMMA microstructures according to the modulated dose contour are revealed after GG development. An X-ray mask with integrated comb drive actuator is fabricated using deep reactive ion etching, absorber electroplating, and bulk micromachining with silicon-on-insulator (SOI) wafer. 1mm $\times$ 1 mm, 20 $\mu$m thick silicon shuttle mass as a mask blank is supported by four 1 mm long suspension beams and is driven by the comb electrodes. A 10 $\mu$m thick, 50 $\mu$m line and spaced gold absorber pattern is electroplated on the shuttle mass before the release step. The fundamental frequency and amplitude are around 3.6 kHz and 20 $\mu$m, respectively, for a do bias of 100 V and an ac bias of 20 $V_{p-p}$ (peak-peak). Fabricated PMMA microstructure shows 15.4 $\mu$m deep, S-shaped cross section in the case of 1.6 kJ $cm^{-3}$ surface dose and GG development at 35$^{\circ}C$ for 40 minutes.

Thin Film Battery Using Micro-Well Patterned Titanium Substrates Prepared by Wet Etching Method

  • Nam, Sang-Cheol;Park, Ho-Young;Lim, Young-Chang;Lee, Ki-Chang;Choi, Kyu-Gil;Park, Gi-Back
    • Journal of the Korean Electrochemical Society
    • /
    • v.11 no.2
    • /
    • pp.100-104
    • /
    • 2008
  • Titanium sheet metal substrates used in thin film batteries were wet etched and their surface area was increased in order to increase the discharge capacity and power density of the batteries. To obtain a homogeneous etching pattern, we used a conventional photolithographic process. Homogeneous hemisphere-shaped wells with a diameter of approximately $40\;{\mu}m$ were formed on the surface of the Ti substrate using a photo-etching process with a $20\;{\mu}m{\times}20\;{\mu}m$ square patterned photo mask. All-solid-state thin film cells composed of a Li/Lithium phosphorous oxynitride (Lipon)/$LiCoO_2$ system were fabricated onto the wet etched substrate using a physical vapor deposition method and their performances were compared with those of the cells on a bare substrate. It was found that the discharge capacity of the cells fabricated on wet etched Ti substrate increased by ca. 25% compared to that of the cell fabricated on bare one. High discharge rate was also able to be obtained through the reduction in the internal resistance. However, the cells fabricated on the wet etched substrate exhibited a higher degradation rate with charge-discharge cycling due to the nonuniform step coverage of the thin films, while the cells on the bare substrate demonstrated a good cycling performance.

Efficient Shadow-Test Algorithm for the Simulation of Dry Etching and Topographical Evolution (건식 식각 공정 시뮬레이션을 위한 효율적인 그림자 테스트 알고리즘과 토포그래피 진화에 대한 연구)

  • Kwon, Oh-Seop;Ban, Yong-Chan;Won, Tae-Young
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.2
    • /
    • pp.41-47
    • /
    • 1999
  • In this paper, we report 3D-simulations of a plasma etching process by employing cell-removal algorithm takes into account the mask shadow effect os well as spillover errors. The developed simulator haas an input interface to take not only an analytic form but a Monte Carlo distribution of the ions. The graphic user interface(GUI) was also built into the simulator for UNIX environment. To demonstrate the capability of 3D-SURFILER(SURface proFILER), we have simulated for a typical contact hole structure with 36,000($30{\times}40{\times}30$) cells, which takes about 20 minutes with 10 Mbytes memory on sun ultra sparc 1. as an exemplary case, we calculated the etch profile during the reactive ion etching(RIE) of a contact hole wherein the aspect ratio is 1.57. Furthermore, we also simulated the dependence of a damage parameter and the evolution of topography as a function of the chamber pressure and the incident ion flux.

  • PDF

A Study on the Design and Fabrication of the Planar Light Waveguide type $2\times32$ Optical Coupler (평면도파로형 $2\times32$ 광커플러의 설계와 제작에 관한 연구)

  • 신기수;최영복;류근호;문동찬
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.24 no.12B
    • /
    • pp.2335-2341
    • /
    • 1999
  • The $2\times32$ coupler consists of Mach-Zehnder interferometer and Y branch coupler. For the designs of this coupler, three dimensional rectangular core waveguide decomposed to two-dimensional structure by the effective index method. To optimize the waveguide structure, the confinement factor was investigated with two-dimensional finite difference Beam Propagation Method. The $2\times32$ coupler fabricated by simulation with height between Mach-Zehnder arms, H=$43.6\mu\textrm{m}$(path difference $0.668\mu\textrm{m}$) was showed best characteristics. In the results of dry etching of core layer, the etching rate of core layer was above 2600${\AA}$/min, the etching ratio of SiO2 to Al mask was 30:1 and the uniformity of etching was $\pm$5%. The maximum insertion loss and the uniformity of $2\times32$ coupler were below 19.2dB, 2dB respectively.

  • PDF

Effect of the Plasma-assisted Patterning of the Organic Layers on the Performance of Organic Light-emitting Diodes

  • Hong, Yong-Taek;Yang, Ji-Hoon;Kwak, Jeong-Hun;Lee, Chang-Hee
    • Journal of Information Display
    • /
    • v.10 no.3
    • /
    • pp.111-116
    • /
    • 2009
  • In this paper, a plasma-assisted patterning method for the organic layers of organic light-emitting diodes (OLEDs) and its effect on the OLED performances are reported. Oxygen plasma was used to etch the organic layers, using the top electrode consisting of lithium fluoride and aluminum as an etching mask. Although the current flow at low voltages increased for the etched OLEDs, there was no significant degradation of the OLED efficiency and lifetime in comparison with the conventional OLEDs. Therefore, this method can be used to reduce the ohmic voltage drop along the common top electrodes by connecting the top electrode with highly conductive bus lines after the common organic layers on the bus lines are etched by plasma. To further analyze the current increase at low voltages, the plasma patterning effect on the OLED performance was investigated by changing the device sizes, especially in one direction, and by changing the etching depth in the vertical direction of the device. It was found that the current flow increase at low voltages was not proportional to the device sizes, indicating that the current flow increase does not come from the leakage current along the etched sides. In the etching depth experiment, the current flow at low voltages did not increase when the etching process was stopped in the middle of the hole transport layer. This means that the current flow increase at low voltages is closely related to the modification of the hole injection layer, and thus, to the modification of the interface between the hole injection layer and the bottom electrode.

A Chemically-driven Top-down Approach for the Formation of High Quality GaN Nanostructure with a Sharp Tip

  • Kim, Je-Hyeong;O, Chung-Seok;Go, Yeong-Ho;Go, Seok-Min;Jo, Yong-Hun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.48-48
    • /
    • 2011
  • We have developed a chemically-driven top-down approach using vapor phase HCl to form various GaN nanostructures and successfully demonstrated dislocation-free and strain-relaxed GaN nanostructures without etching damage formed by a selective dissociation method. Our approach overcomes many limitations encountered in previous approaches. There is no need to make a pattern, complicated process, and expensive equipment, but it produces a high-quality nanostructure over a large area at low cost. As far as we know, this is the first time that various types of high-quality GaN nanostructures, such as dot, cone, and rod, could be formed by a chemical method without the use of a mask or pattern, especially on the Ga-polar GaN. It is well known that the Ga-polar GaN is difficult to etch by the common chemical wet etching method because of the chemical stability of GaN. Our chemically driven GaN nanostructures show excellent structure and optical properties. The formed nanostructure had various facets depending on the etching conditions and showed a high crystal quality due to the removal of defects, such as dislocations. These structure properties derived excellent optical performance of the GaN nanostructure. The GaN nanostructure had increased internal and external quantum efficiency due to increased light extraction, reduced strain, and improved crystal quality. The chemically driven GaN nanostructure shows promise in applications such as efficient light-emitting diodes, field emitters, and sensors.

  • PDF

미세금형 가공을 위한 전기화학식각공정의 유한요소 해석 및 실험 결과 비교

  • Ryu, Heon-Yeol;Im, Hyeon-Seung;Jo, Si-Hyeong;Hwang, Byeong-Jun;Lee, Seong-Ho;Park, Jin-Gu
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2012.05a
    • /
    • pp.81.2-81.2
    • /
    • 2012
  • To fabricate a metal mold for injection molding, hot-embossing and imprinting process, mechanical machining, electro discharge machining (EDM), electrochemical machining (ECM), laser process and wet etching ($FeCl_3$ process) have been widely used. However it is hard to get precise structure with these processes. Electrochemical etching has been also employed to fabricate a micro structure in metal mold. A through mask electrochemical micro machining (TMEMM) is one of the electrochemical etching processes which can obtain finely precise structure. In this process, many parameters such as current density, process time, temperature of electrolyte and distance between electrodes should be controlled. Therefore, it is difficult to predict the result because it has low reliability and reproducibility. To improve it, we investigated this process numerically and experimentally. To search the relation between processing parameters and the results, we used finite element simulation and the commercial finite element method (FEM) software ANSYS was used to analyze the electric field. In this study, it was supposed that the anodic dissolution process is predicted depending on the current density which is one of major parameters with finite element method. In experiment, we used stainless steel (SS304) substrate with various sized square and circular array patterns as an anode and copper (Cu) plate as a cathode. A mixture of $H_2SO_4$, $H_3PO_4$ and DIW was used as an electrolyte. After electrochemical etching process, we compared the results of experiment and simulation. As a result, we got the current distribution in the electrolyte and line profile of current density of the patterns from simulation. And etching profile and surface morphologies were characterized by 3D-profiler(${\mu}$-surf, Nanofocus, Germany) and FE-SEM(S-4800, Hitachi, Japan) measurement. From comparison of these data, it was confirmed that current distribution and line profile of the patterns from simulation are similar to surface morphology and etching profile of the sample from the process, respectively. Then we concluded that current density is more concentrated at the edge of pattern and the depth of etched area is proportional to current density.

  • PDF

Pentacene Thin Film Transistors Fabricated by High-aspect Ratio Metal Shadow Mask

  • Jin, Sung-Hun;Jung, Keum-Dong;Shin, Hyung-Chul;Park, Byung-Gook;Lee, Jong-Duk;Yi, Sang-Min;Chu, Chong-Nam
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2004.08a
    • /
    • pp.881-884
    • /
    • 2004
  • The robust and large-area applicable metal shadow masks with a high aspect ratio more than 20 are fabricated by a combination of micro-electro-discharge machining (${\mu}$-EDM) and electro chemical etching (ECE). After defining S/D contacts using a 100 ${\mu}m$ thick stainless steel shadow mask, the top-contact pentacene TFTs with channel length of 5 ${\mu}m$ showed routinely the results of mobility of 0.498 ${\pm}$ 0.05 $cm^2$/Vsec, current on/off ratio of 1.6 ${times}$ $10^5$, and threshold voltage of 0 V. The straightly defined atomic force microscopy (AFM) images of channel area demonstrated that shadow effects caused by the S/D electrode deposition were negligible. The fabricated pentacene TFTs have an average channel length of 5 ${\pm}$ 0.25 ${\mu}m$.

  • PDF

Modeling of Silicon Etch in KOH for MEMS Based Energy Harvester Fabrication (MEMS기반 에너지 하베스터 제작을 위한 실리콘 KOH 식각 모형화)

  • Min, Chul-Hong;Gang, Gyeong-Woo;Kim, Tae-Seon
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.3
    • /
    • pp.176-181
    • /
    • 2012
  • Due to the high etch rate and low fabrication cost, the wet etching of silicon using KOH etchant is widely used in MEMS fabrication area. However, anisotropic etch characteristic obstruct intuitional mask design and compensation structures are required for mask design level. Therefore, the accurate modeling for various types of silicon surface is essential for fabrication of three-dimensional MEMS structure. In this paper, we modeled KOH etch profile for MEMS based energy harvester using fuzzy logic. Modeling results are compared with experimental results and it is applied to design of compensation structure for MEMS based energy harvester. Through Fuzzy inference approaches, developed model showed good agreement with the experimental results with limited etch rate information.

Patterning of Pt thin films using SiO$_2$mask in a high density plasma (고밀도 플라즈마에서 규소산화막을 마스크로 이용한 백금박막의 페터닝)

  • 이희섭;이종근;박세근;정양희
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.3
    • /
    • pp.87-92
    • /
    • 1997
  • Inductively coupled Cl$_{2}$ plasma has been studied to etch Pt thin films, which hardly form volatile compound with any reactive gas at normal process temperature. Low etch rate and residue problems are frequently observed. For higher etch rate, high density plasma and higher process temperature is adopted observed. For higher etch rate, high density plasma and higher process temperature is adopted and thus SiO$_{2}$ is used as for patterning mask instead of photoresist. The effect of O$_{2}$ or Ar addition to Cl$_{2}$ was investigated, and the chamber pressure, gas flow rate, surce RF power and bias RF power are also varied to check their effects on etch rate and selectivity. The major etching mechanism is the physical sputtering, but the ion assisted chemical raction is also found to be a big factor. The proposs can be optimized to obtain the etch rate of Pt up to 200nm/min and selectivity to SiO$_{2}$ at 2.0 or more. Patterning of submicron Pt lines are successfully demonstrated.

  • PDF