• Title/Summary/Keyword: etching mask

Search Result 233, Processing Time 0.029 seconds

Fabrication of a shadow mask for OTFT circuit (유기 박막 트랜지스터 회로를 위한 섀도 마스크의 제작)

  • Yi S.M.;Park M.S.;Lee Y.S.;Lee H.S.;Chu C.N.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.06a
    • /
    • pp.1277-1280
    • /
    • 2005
  • A high-aspect-ratio and high-resolution stainless steel shadow mask for organic thin-film transistors (OTFTs) circuit has been fabricated by a new method which combines photochemical machining, micro-electrical discharge machining (micro-EDM), and electrochemical etching (ECE). First, connection lines and source-drain holes are roughly machined by photochemical etching, and then the part of source and drain holes is finished by the combination of micro-EDM and ECE processes. Using this method a $100\;\mu{m}$ thick stainless steel (AISI 304) shadow mask for inverter can be fabricated with the channel length of $30\;\mu{m}\;and\;10\;\mu{m}\;respectively.\;The\;width\;of\;connection line\;is\;150\;\mu{m}$. The aspect ratio of the wall is about 5 and 15, respectively. Metal lines and source-drain electrodes of OTFTs were successfully deposited through the fabricated shadow mask.

  • PDF

Improvement of Reaction Yield in the Shadow Mask Green Recycling Process (Shadow Mask GRS 공정에서의 반응수율 향상을 위한 기술개발)

  • Yoon, Mun-Kyu;Koo, Kee-Kahb;Lee, Moon-Yong
    • Clean Technology
    • /
    • v.13 no.3
    • /
    • pp.188-194
    • /
    • 2007
  • In the present study, we developed a methodology to minimize a waste solution produced in the etching process. The condition for the optimization of the GRS process was studied on the basis of laboratory experiment and field test as well as pilot test. Through the study, we analyse the relation of the main process variables and the yield of the GRS process. The application of the new operation condition and the reactor internal modification results in 10% yield improvement in the GRS process and accordingly decreases a wasted solution.

  • PDF

Fabrication of Miniaturized Shadow-mask for Local Deposition (국부증착용 마이크로 샤도우 마스크 제작)

  • 김규만;유르겐부르거
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.21 no.8
    • /
    • pp.152-156
    • /
    • 2004
  • A new tool of surface patterning technique for general purpose lithography was developed based on shadow mask method. This paper describes the fabrication of a new type of miniaturized shadow mask. The shadow mask is fabricated by photolithography and etching of 100-mm full wafer. The fabricated shadow mask has over 388 membranes with apertures of micrometer length scale ranging from 1${\mu}{\textrm}{m}$ to 100s ${\mu}{\textrm}{m}$ made on each 2mm${\times}$2mm large low stress silicon nitride membrane. It allows micro scale patterns to be directly deposited on substrate surface through apertures of the membrane. This shadow mask method has much wider choice of deposit materials, and can be applied to wider class of surfaces including chemical functional layer, MEMS/NEMS surfaces, and biosensors.

Fabrication of Multilayered Structures in Electrochemical Etching using a Copper Protective Layer (구리 보호층을 이용한 전해에칭에서의 다층구조 제작)

  • Shin, Hong-Shik
    • Journal of the Korean Society of Manufacturing Process Engineers
    • /
    • v.18 no.2
    • /
    • pp.38-43
    • /
    • 2019
  • Electrochemical etching is a popular process to apply metal patterning in various industries. In this study, the electrochemical etching using a patterned copper layer was proposed to fabricate multilayered structures. The process consists of electrodeposition, laser patterning, and electrochemical etching, and a repetition of this process enables the production of multilayered structures. In the fabrication of a multilayered structure, an etch factor that reflects the etched depth and pattern size should be considered. Hence, the etch factor in the electrochemical etching process using the copper layer was calculated. After the repetition process of electrochemical etching using copper layers, the surface characteristics of the workpiece were analyzed by EDS analysis and surface profilometer. As a result, multilayered structures with various shapes were successfully fabricated via electrochemical etching using copper layers.

Development of Polygonal Model for Shape-Deformation Analysis of Amorphous Carbon Hard Mask in High-Density Etching Plasma (고밀도 식각 플라즈마에서 비정질 탄소 하드 마스크의 형상 변형 해석을 위한 다각형 모델 개발)

  • Song, Jaemin;Bae, Namjae;Park, Jihoon;Ryu, Sangwon;Kwon, Ji-Won;Park, Taejun;Lee, Ingyu;Kim, Dae-Chul;Kim, Jong-Sik;Kim, Gon-Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.4
    • /
    • pp.53-58
    • /
    • 2022
  • Shape changes of hard mask play a key role in the aspect ratio dependent etch (ARDE). For etch process using high density and energy ions, deformation of hard mask shape becomes more severe, and high aspect ratio (HAR) etch profile is distorted. In this study, polygonal geometric model for shape-deformation of amorphous carbon layered hard mask is suggested to control etch profile during the process. Mask shape is modeled with polygonal geometry consisting of trapezoids and rectangles, and it provides dynamic information about angles of facets and etched width and height of remained mask shape, providing important features for real-time HAR etch profiling.

A Study on the Mask Fabrication Process for X-ray Lithography (X-선 노광용 마스크 제작공정에 관한 연구)

  • 박창모;우상균;이승윤;안진호
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.7 no.2
    • /
    • pp.1-6
    • /
    • 2000
  • X-ray lithography mask with SiC membrane and Ta absorber patterns has been fabricated using ECR plasma CVD, d.c. magnetron sputtering, and ECR plasma etching. The stress of stoichiometric SiC film was adjusted by rapid thermal annealing under $N_2$, ambient. Adjusting the working pressure during sputtering process resulted in a near-zero residual stress, reasonable density, and smooth surface morphology of Ta film. Cl-based plasma showed a good etching characteristics of Ta, and two-step etching process was implemented to suppress microloading effect fur sub-quarter $\mu\textrm{m}$ patterning.

  • PDF

$N_2$ Gas roles on Pt thin film etching using Ar/$C1_2/N_2$ Plasma (Ar/$C1_2/N_2$플라즈마를 이용한 Pt 박막 식각에서 $N_2$ Gas의 역할)

  • 류재홍;김남훈;이원재;유병곤;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.11a
    • /
    • pp.468-470
    • /
    • 1999
  • One of the most critical problem in etching of platinum was generally known that the etch slope was gradual. therefore, the addition of $N_2$ gas into the Ar/C1$_2$ gas mixture, which has been proposed the optimized etching gas combination for etching of platinum in our previous article, was performed. The selectivity of platinum film to oxide film as an etch mask increased with the addition of N2 gas, and the steeper etch slope over 75 $^{\circ}$ could be obtained. These phenomena were interpreted the results the results of a blocking layer such as Si-N or Si-O-N on the oxide mask. Compostional analysis was carried out by X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS). Moreover, it could be obtained the higher etch rate of Pt film and steeper profile without residues such as p.-Cl and Pt-Pt ant the addition N\ulcorner of 20 % gas in Ar(90)/Cl$_2$(10) Plasma. The Plasma characteristic was extracted from optical emissionspectroscopy (OES).

  • PDF

A Study on the Etcting Technology for Metal Interconnection on Low-k Polyimide (Low-k Polyimide상의 금속배선 형성을 위한 식각 기술 연구)

  • Mun, Ho-Seong;Kim, Sang-Hun;An, Jin-Ho
    • Korean Journal of Materials Research
    • /
    • v.10 no.6
    • /
    • pp.450-455
    • /
    • 2000
  • For further scaling down of the silicon devices, the application of low dielectric constant materials instead of silicon oxide has been considered to reduce power consumption, crosstalk, and interconnection delay. In this paper, the effect of $O_2/SF_6$ plasma chemistry on the etching characteristics of polyimide-one of the promising low-k interlayer dielectrics-has been studied. The etch rate of polyimide decreases with the addition of $SF_6$ gas due to formation of nonvolatile fluorine compounds inhibiting reaction between oxygen and hydrocarbon polymer, while applying substrate bias enhances etching process through physical attack. However, addition of small amount of $SF_6$ is desirable for etching topography. $SiO_2$ hard mask for polyimide etching is effective under $O_2$plasma etching(selectivity~30), while $O_2/SF_6$ chemistry degrades etching selectivity down to 4. Based on the above results, $1-2\mu\textrm{m}$ L&S PI2610 patterns were successfully etched.

  • PDF

Selective Etching of Magnetic Layer Using CO/$NH_3$ in an ICP Etching System

  • Park, J.Y.;Kang, S.K.;Jeon, M.H.;Yeom, G.Y.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.448-448
    • /
    • 2010
  • Magnetic random access memory (MRAM) has made a prominent progress in memory performance and has brought a bright prospect for the next generation nonvolatile memory technologies due to its excellent advantages. Dry etching process of magnetic thin films is one of the important issues for the magnetic devices such as magnetic tunneling junctions (MTJs) based MRAM. CoFeB is a well-known soft ferromagnetic material, of particular interest for magnetic tunnel junctions (MTJs) and other devices based on tunneling magneto-resistance (TMR), such as spin-transfer-torque MRAM. One particular example is the CoFeB - MgO - CoFeB system, which has already been integrated in MRAM. In all of these applications, knowledge of control over the etching properties of CoFeB is crucial. Recently, transferring the pattern by using milling is a commonly used, although the redeposition of back-sputtered etch products on the sidewalls and the low etch rate of this method are main disadvantages. So the other method which has reported about much higher etch rates of >$50{\AA}/s$ for magnetic multi-layer structures using $Cl_2$/Ar plasmas is proposed. However, the chlorinated etch residues on the sidewalls of the etched features tend to severely corrode the magnetic material. Besides avoiding corrosion, during etching facets format the sidewalls of the mask due to physical sputtering of the mask material. Therefore, in this work, magnetic material such as CoFeB was etched in an ICP etching system using the gases which can be expected to form volatile metallo-organic compounds. As the gases, carbon monoxide (CO) and ammonia ($NH_3$) were used as etching gases to form carbonyl volatiles, and the etched features of CoFeB thin films under by Ta masking material were observed with electron microscopy to confirm etched resolution. And the etch conditions such as bias power, gas combination flow, process pressure, and source power were varied to find out and control the properties of magnetic layer during the process.

  • PDF