• Title/Summary/Keyword: dry etch

Search Result 201, Processing Time 0.03 seconds

Reactivity Evaluation on Copper Etching Using Organic Chelators (유기 킬레이터들을 이용한 구리 식각에 대한 반응성 평가)

  • Kim, Chul Hee;Lim, Eun Taek;Park, Chan Ho;Park, Sung Yong;Lee, Ji Soo;Chung, Chee Won;Kim, Dong Wook
    • Korean Journal of Materials Research
    • /
    • v.31 no.10
    • /
    • pp.569-575
    • /
    • 2021
  • The reactivity evaluation of copper is performed using ethylenediamine, aminoethanol, and piperidine to apply organic chelators to copper etching. It is revealed that piperidine, which is a ring-type chelator, has the lowest reactivity on copper and copper oxide and ethylenediamine, which is a chain-type chelator, has the highest reactivity via inductively coupled plasma-mass spectroscopy (ICP-MS). Furthermore, it is confirmed that the stable complex of copper-ethylenediamine can be formed during the reaction between copper and ethylenediamine using nuclear magnetic resonance (NMR) and radio-thin layer chromatography. As a final evaluation, the copper reactivity is evaluated by wet etching using each solution. Scanning electron micrographs reveal that the degree of copper reaction in ethylenediamine is stronger than that in any other chelator. This result is in good agreement with the evaluation results obtained by ICP-MS and NMR. It is concluded that ethylenediamine is a prospective etch gas for the dry etching of the copper.

Constant Voltage Stress (CVS) and Hot Carrier Injection (HCI) Degradations of Vertical Double-date InGaAs TFETs for Bio Sensor Applications (바이오 센서 적용을 위한 수직형 이중게이트 InGaAs TFET의 게이트 열화 현상 분석)

  • Baek, Ji-Min;Kim, Dae-Hyun
    • Journal of Sensor Science and Technology
    • /
    • v.31 no.1
    • /
    • pp.41-44
    • /
    • 2022
  • In this study, we have fabricated and characterized vertical double-gate (DG) InGaAs tunnel field-effect-transistors (TFETs) with Al2O3/HfO2 = 1/5 nm bi-layer gate dielectric by employing a top-down approach. The device exhibited excellent characteristics including a minimum subthreshold swing of 60 mV/decade, a maximum transconductance of 141 µS/㎛, and an on/off current ratio of over 103 at 20℃. Although the TFETs were fabricated using a dry etch-based top-down approach, the values of DIBL and hysteresis were as low as 40 mV/V and below 10 mV, respectively. By evaluating the effects of constant voltage and hot carrier injection stress on the vertical DG InGaAs TFET, we have identified the dominant charge trapping mechanism in TFETs.

Dry Etching of Flexible Polycarbonate and PMMA in O2/SF6/CH4 Discharges (O2/SF6/CH4 플라즈마를 이용한 플렉시블 Polycarbonate와 PMMA의 건식 식각)

  • Joo, Y.W.;Park, Y.H.;Noh, H.S.;Kim, J.K.;Lee, J.W.
    • Journal of the Korean Vacuum Society
    • /
    • v.18 no.2
    • /
    • pp.85-91
    • /
    • 2009
  • There has been a rapid progress for flexible polymer-based MEMS(Microelectromechanical Systems) technology. Polycarbonate (PC) and Poly Methyl Methacrylate (PMMA), so-called acrylic, have many advantages for optical, non-toxic and micro-device application. We studied dry etching of PC and PMMA as a function of % gas ratio in the $O_2/SF_6/CH_4$ temary plasma. A photoresist pattern was defined on the polymer samples with a mask using a conventional lithography. Plasma etching was done at 100 W RIE chuck power and 10 sccm total gas flow rate. The etch rates of PMMA were typically 2 times higher than those of PC in the whole experimental range. The result would be related to higher melting point of PC compared to that of PMMA. The highest etch rates of PMMA and PC were found in the $O_2/SF_6$ discharges among $O_2/SF_6$, $O_2/CH_4$ and $SF_6/CH_4$ and $O_2/SF_6/CH_4$ plasma composition (PC: ${\sim}350\;nm/min$ at 5 sccm $O_2/5$ sccm $SF_6$, PMMA: ${\sim}570\;nm/min$ at 2.5 sccm $O_2/7.5$ sccm $SF_6$). PC has smoother surface morphology than PMMA after etching in the $O_2/SF_6/CH_4$ discharges. The surface roughness of PC was in the range of 1.9$\sim$3.88 nm. However, that of PMMA was 17.3$\sim$26.1 nm.

Cu dry etching by the reaction of Cu oxide with H(hfac) (Cu oxide의 형성과 H(hfac) 반응을 이용한 Cu 박막의 건식식각)

  • Yang, Hui-Jeong;Hong, Seong-Jin;Jo, Beom-Seok;Lee, Won-Hui;Lee, Jae-Gap
    • Korean Journal of Materials Research
    • /
    • v.11 no.6
    • /
    • pp.527-532
    • /
    • 2001
  • Dry etching of copper film using $O_2$ plasma and H(hfac) has been investigated. A one-step process consisting of copper film oxidation with an $O_2$ plasma and the removal of surface copper oxide by the reaction with H(hfac) to form volatile Cu(hfac)$_2$ and $H_2O$ was carried but. The etching rate of Cu in the range from 50 to 700 /min was obtained depending on the substrate temperature, the H(hfac)/O$_2$ flow rate ratio, and the plasma power. The copper film etch rate increased with increasing RF power at the temperatures higher than 215$^{\circ}C$. The optimum H(hfac)/O$_2$ flow rate ratio was 1:1, suggesting that the oxidation process and the reaction with H(hfac) should be in balance. Cu patterning using a Ti mask was performed at a flow rate ratio of 1:1 on 25$0^{\circ}C$\ulcorner and an isotropic etching profile with a taper slope of 30$^{\circ}$was obtained. Cu dry patterning with a tapered angle which is necessary for the advanced high resolution large area thin film transistor liquid-crystal displays was thus successfully obtained from one step process by manipulating the substrate temperature, RF power, and flow rate ratio.

  • PDF

Optimization of Backside Etching with High Uniformity for Large Area Transmission-Type Modulator

  • Lee, Soo-Kyung;Na, Byung-Hoon;Ju, Gun-Wu;Choi, Hee-Ju;Lee, Yong-Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.319-320
    • /
    • 2012
  • Large aperture optical modulator called optical shutter is a key component to realize time-of-flight (TOF) based three dimensional (3D) imaging systems [1-2]. The transmission type electro-absorption modulator (EAM) is a prime candidate for 3D imaging systems due to its advantages such as small size, high modulation performance [3], and ease of forming two dimensional (2D) array over large area [4]. In order to use the EAM for 3D imaging systems, it is crucial to remove GaAs substrate over large area so as to obtain high uniformity modulation performance at 850 nm. In this study, we propose and experimentally demonstrate techniques for backside etching of GaAs substrate over a large area having high uniformity. Various methods such as lapping and polishing, dry etching for anisotropic etching, and wet etching ([20%] C6H8O7 : H2O2 = 5:1) for high selectivity backside etching [5] are employed. A high transmittance of 80% over the large aperture area ($5{\times}5mm^2$) can be obtained with good uniformity through optimized backside etching method. These results reveal that the proposed methods for backside etching can etch the substrate over a large area with high uniformity, and the EAM fabricated by using backside etching method is an excellent candidate as optical shutter for 3D imaging systems.

  • PDF

Fabrication of Optically Active Nanostructures for Nanoimprinting

  • Jang, Suk-Jin;Cho, Eun-Byurl;Park, Ji-Yun;Yeo, Jong-Souk
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.393-393
    • /
    • 2012
  • Optically active nanostructures such as subwavelength moth-eye antireflective structures or surface enhanced Raman spectroscopy (SERS) active structures have been demonstrated to provide the effective suppression of unwanted reflections as in subwavelength structure (SWS) or effective enhancement of selective signals as in SERS. While various nanopatterning techniques such as photolithography, electron-beam lithography, wafer level nanoimprinting lithography, and interference lithography can be employed to fabricate these nanostructures, roll-to-roll (R2R) nanoimprinting is gaining interests due to its low cost, continuous, and scalable process. R2R nanoimprinting requires a master to produce a stamp that can be wrapped around a quartz roller for repeated nanoimprinting process. Among many possibilities, two different types of mask can be employed to fabricate optically active nanostructures. One is self-assembled Au nanoparticles on Si substrate by depositing Au film with sputtering followed by annealing process. The other is monolayer silica particles dissolved in ethanol spread on the wafer by spin-coating method. The process is optimized by considering the density of Au and silica nano particles, depth and shape of the patterns. The depth of the pattern can be controlled with dry etch process using reactive ion etching (RIE) with the mixture of SF6 and CHF3. The resultant nanostructures are characterized for their reflectance using UV-Vis-NIR spectrophotometer (Agilent technology, Cary 5000) and for surface morphology using scanning electron microscope (SEM, JEOL JSM-7100F). Once optimized, these optically active nanostructures can be used to replicate with roll-to-roll process or soft lithography for various applications including displays, solar cells, and biosensors.

  • PDF

Analysis of Electrical Characteristics of AlGaN/GaN on Si Large SBD by Changing Structure

  • Lee, Hyun-Soo;Jung, Dong Yun;Park, Youngrak;Jang, Hyun-Gyu;Lee, Hyung-Seok;Jun, Chi-Hoon;Park, Junbo;Mun, Jae Kyoung;Ryu, Sang-Ouk;Ko, Sang Choon;Nam, Eun Soo
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.3
    • /
    • pp.354-362
    • /
    • 2017
  • We investigated the improvement in electrical characteristics of large AlGaN/GaN on Si Schottky barrier diode (SBD) induced by structural change to achieve a better trade-off between the forward and reverse performance to obtain high power conversion efficiency in PFC converter. Using an optimized dry etch condition for a large device, we fabricated three-types of SBD with 63 mm channel width: conventional, recessed, recessed dual-anode-metal SBD. The recessed dual-anode-metal SBD exhibited a very low turn-on voltage of 0.34 V, a high forward current of 1.63 A at 1.5 V, a leakage current of $114{\mu}A$ at -15 V, a breakdown voltage of 794 V.

Efficient Shadow-Test Algorithm for the Simulation of Dry Etching and Topographical Evolution (건식 식각 공정 시뮬레이션을 위한 효율적인 그림자 테스트 알고리즘과 토포그래피 진화에 대한 연구)

  • Kwon, Oh-Seop;Ban, Yong-Chan;Won, Tae-Young
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.2
    • /
    • pp.41-47
    • /
    • 1999
  • In this paper, we report 3D-simulations of a plasma etching process by employing cell-removal algorithm takes into account the mask shadow effect os well as spillover errors. The developed simulator haas an input interface to take not only an analytic form but a Monte Carlo distribution of the ions. The graphic user interface(GUI) was also built into the simulator for UNIX environment. To demonstrate the capability of 3D-SURFILER(SURface proFILER), we have simulated for a typical contact hole structure with 36,000($30{\times}40{\times}30$) cells, which takes about 20 minutes with 10 Mbytes memory on sun ultra sparc 1. as an exemplary case, we calculated the etch profile during the reactive ion etching(RIE) of a contact hole wherein the aspect ratio is 1.57. Furthermore, we also simulated the dependence of a damage parameter and the evolution of topography as a function of the chamber pressure and the incident ion flux.

  • PDF

Comparison of Dry Etching of GaAs in Inductively Coupled $BCl_3$ and $BCl_3/Ar$ Plasmas ($BCl_3$$BCl_3/Ar$ 유도결합 플라즈마에 따른 GaAs 건식식각 비교)

  • ;;;;;S.J Pearton
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2003.03a
    • /
    • pp.62-62
    • /
    • 2003
  • 고밀도 유도결합 플라즈마(high density inductively coupled plasma) 식각은 GaAs 이종접합 양극성 트랜지스터(HBTs)와 고속전자 이동도 트랜지스터(HEMTs)와 같은 GaAs 기반 반도체의 정교한 패턴을 형성하는데 더욱 많이 이용되고 있다 본 연구는 고밀도 플라즈마 소스(source)인 평판형(planar) 고밀도 유도결합 플라즈마 식각장치를 이용하여 $BCl_3$$BCl_3/Ar$ 가스에 따른 GaAs 식각결과를 비교 분석하였다. 공정변수는 ICP 소스 파워를 0-500W, RIE 척(chuck) 파워를 0-150W, 공정압력을 0-15 mTorr 이었다. 그리고 가스 유량은 20sccm(standard cubic centimeter per minute)으로 고정시킨 상태에서 Ar 첨가 비율에 따른 GaAs의 식각결과를 관찰하였다. 공정 결과는 식각률(etch rate), GaAs 대 PR의 선택도(selectivity), 표면 거칠기(roughness)와 식각후 표면에 남아 있는 잔류 가스등을 분석하였다. 20 $BCl_3$ 플라즈마를 이용한 GaAs 식각률 보다 Ar이 첨가된 (20-x) $BC1_3/x Ar$ 플라즈마의 식각률이 더 우수하다는 것을 알 수 있었다. 식각률 증가는 Ar 가스의 첨가로 인한 GaAs 반도체와 Ar 플라즈마의 충돌로 나타난 결과로 예측된다. $BCl_3$$BC1_3/Ar$ 플라즈마에 노출된 GaAs 반도체 모두 표면이 평탄하였고 수직 측벽도 또한 우수하였다. 그리고 표면에 잔류하는 성분은 Ga와 As 이외에 $Cl_2$ 계열의 불순물이 거의 발견되지 않아 매우 깨끗함을 확인하였다. 이번 발표에서는 $BCl_3$$BCl_3/Ar$ 플라즈마를 이용한 GaAs의 건식식각 비교에 대해 상세하게 보고 할 것이다.

  • PDF

A Study on the Etcting Technology for Metal Interconnection on Low-k Polyimide (Low-k Polyimide상의 금속배선 형성을 위한 식각 기술 연구)

  • Mun, Ho-Seong;Kim, Sang-Hun;An, Jin-Ho
    • Korean Journal of Materials Research
    • /
    • v.10 no.6
    • /
    • pp.450-455
    • /
    • 2000
  • For further scaling down of the silicon devices, the application of low dielectric constant materials instead of silicon oxide has been considered to reduce power consumption, crosstalk, and interconnection delay. In this paper, the effect of $O_2/SF_6$ plasma chemistry on the etching characteristics of polyimide-one of the promising low-k interlayer dielectrics-has been studied. The etch rate of polyimide decreases with the addition of $SF_6$ gas due to formation of nonvolatile fluorine compounds inhibiting reaction between oxygen and hydrocarbon polymer, while applying substrate bias enhances etching process through physical attack. However, addition of small amount of $SF_6$ is desirable for etching topography. $SiO_2$ hard mask for polyimide etching is effective under $O_2$plasma etching(selectivity~30), while $O_2/SF_6$ chemistry degrades etching selectivity down to 4. Based on the above results, $1-2\mu\textrm{m}$ L&S PI2610 patterns were successfully etched.

  • PDF