• Title/Summary/Keyword: deposited layer

Search Result 2,397, Processing Time 0.029 seconds

Atomic layer deposition of In-Sb-Te Thin Films for PRAM Application

  • Lee, Eui-Bok;Ju, Byeong-Kwon;Kim, Yong-Tae
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.132-132
    • /
    • 2011
  • For the programming volume of PRAM, Ge2Sb2Te5(GST) thin films have been dominantly used and prepared by physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD). Among these methods, ALD is particularly considered as the most promising technique for the integration of PRAM because the ALD offers a superior conformality to PVD and CVD methods and a digital thickness control precisely to the atomic level since the film is deposited one atomic layer at a time. Meanwhile, although the IST has been already known as an optical data storage material, recently, it is known that the IST benefits multistate switching behavior, meaning that the IST-PRAM can be used for mutli-level coding, which is quite different and unique performance compared with the GST-PRAM. Therefore, it is necessary to investigate a possibility of the IST materials for the application of PRAM. So far there are many attempts to deposit the IST with MOCVD and PVD. However, it has not been reported that the IST can be deposited with the ALD method since the ALD reaction mechanism of metal organic precursors and the deposition parameters related with the ALD window are rarely known. Therefore, the main aim of this work is to demonstrate the ALD process for IST films with various precursors and the conformal filling of a nano size programming volume structure with the ALD?IST film for the integration. InSbTe (IST) thin films were deposited by ALD method with different precursors and deposition parameters and demonstrated conformal filling of the nano size programmable volume of cell structure for the integration of phase change random access memory (PRAM). The deposition rate and incubation time are 1.98 A/cycle and 25 cycle, respectively. The complete filling of nano size volume will be useful to fabricate the bottom contact type PRAM.

  • PDF

Magnetic exchange coupled NiFe/TbCo thin films for thin film magnetoresistive heads (박막 자기 저항 헤드용 자기교환 결합 NiFe/TbCo박막)

  • 오장근;조순철;안동훈
    • Journal of the Korean Magnetics Society
    • /
    • v.3 no.4
    • /
    • pp.293-297
    • /
    • 1993
  • Exchange coupled $NiFe/TbCo/Sio_{2}$ thin films for magnetoresistive heads were sputter deposited using RF diode sputtering method, and their magnetic characteristics were measured. TbCo films were deposited using a composite target, which is composed of Tb chips epoxied on a Co target. NiFe($400\AA$)/TbCo($1500\AA$)/$SiO_{2}$($500\AA$) films were deposited using a TbCo target having 30 % of Tb area ratio, which showed 25 Oe of the exchange field without substrate bias and 12 Oe with -55 V of substrate bias. The effective in-plane coercivities of the three layer films fabricated with less than -55 V of substrate bias were approximately proportional to the perpendicular coercivities of the TbCo layer only. The films fabricated with a Theo target of 28 % area ratio showed the same trend. However, the exchange field decreased to 4 Oe without the substrate bias and 7 Oe with -55 V of substrate bias. In the films fabricated with 1000 W of power and the target of 36 % area ratio exhibited 100 Oe of exchange field and 3 Oe of coercivity. As the thickness of NiFe layer increased, the exchange field decreased.

  • PDF

A Study on the Laser Melting Deposition of Mixed Metal Powders to Prevent Interfacial Cracks (레이저 용융 금속 적층 시 결함 방지를 위한 혼합 분말 적층에 관한 연구)

  • Shim, D.S.;Lee, W.J.;Lee, S.B.;Choi, Y.S.;Lee, K.Y.;Park, S.H.
    • Transactions of Materials Processing
    • /
    • v.27 no.1
    • /
    • pp.5-11
    • /
    • 2018
  • Direct energy deposition (DED) technique uses a laser heat source to deposit a metal layer on a substrate. Many researchers have used the DED technique to study the hardfacing of molds and dies. The aim of this study is to obtain high surface hardness and a sound bonding between the AISI M4 deposits and a substrate utilizing a mixed powder that contains M4 and AISI P21 powders. To prevent interfacial cracks between the M4 deposits and the substrate, the mixed powder is pre-deposited onto a JIS S45C substrate, before the deposition of M4 powders. Interfacial defects occurring between the deposits and substrate and changes in the microhardness of the intermediate layer were examined. Observations of the cross-sections of deposited specimens revealed that the interfacial cracks appeared in samples with one and two mixed layers regardless of the mixture ratio. However, the crack was removed by increasing the mixture ratio and the number of intermediate layers. Meanwhile, the microhardness in the mixed layer was found to decrease with increasing ratio of P21 powder in the mixture and that in the upper region of the deposited layers was approximately 800 HV, which was attributed to various alloying elements in the M4 powder.

Electrical, Structural and Optical Characteristic Analysis of Al-doped ZnO Film Deposited by Atomic Layer Deposition (Atomic Layer Deposition으로 증착된 Al-doped ZnO Film의 전기적, 구조적 및 광학적 특성 분석)

  • Lim, Jung-Soo;Jeong, Kwang-Seok;Shin, Hong-Sik;Yun, Ho-Jin;Yang, Seung-Dong;Kim, Yu-Mi;Lee, Hi-Deok;Lee, Ga-Won
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.24 no.6
    • /
    • pp.491-496
    • /
    • 2011
  • Al-doped ZnO film on glass substrate is deposited by ALD in low temperature, using 4-step process (DEZ-$H_2O$-TMA-$H_2O$). To find out the optimal film condition for TCO material, we fabricate Al-doped ZnO films by increasing Al doping concentration at $100^{\circ}C$, so that the Al-doped film of 5 at% shows the lowest resistivity ($1.057{\times}10^{-2}{\Omega}{\cdot}cm$) and the largest grain size (38.047 nm). Afterwards, the electrical and physical characteristics in Al-doped films of 5 at% are also compared in accordance with increasing deposition temperature. All the films show the optical transmittance over 80% and the film deposited at $250^{\circ}C$ demonstrates the superior resistivity ($1.237{\times}10^{-4}{\Omega}{\cdot}cm$).

Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods (전자 사이클로트론 공명 플라즈마와 열 원자층 증착법으로 제조된 Al2O3 박막의 물리적·전기적 특성 비교)

  • Yang, Dae-Gyu;Kim, Yang-Soo;Kim, Jong-Heon;Kim, Hyoung-Do;Kim, Hyun-Suk
    • Korean Journal of Materials Research
    • /
    • v.27 no.6
    • /
    • pp.295-300
    • /
    • 2017
  • Aluminum-oxide($Al_2O_3$) thin films were deposited by electron cyclotron resonance plasma-enhanced atomic layer deposition at room temperature using trimethylaluminum(TMA) as the Al source and $O_2$ plasma as the oxidant. In order to compare our results with those obtained using the conventional thermal ALD method, $Al_2O_3$ films were also deposited with TMA and $H_2O$ as reactants at $280^{\circ}C$. The chemical composition and microstructure of the as-deposited $Al_2O_3$ films were characterized by X-ray diffraction(XRD), X-ray photo-electric spectroscopy(XPS), atomic force microscopy(AFM) and transmission electron microscopy(TEM). Optical properties of the $Al_2O_3$ films were characterized using UV-vis and ellipsometry measurements. Electrical properties were characterized by capacitance-frequency and current-voltage measurements. Using the ECR method, a growth rate of 0.18 nm/cycle was achieved, which is much higher than the growth rate of 0.14 nm/cycle obtained using thermal ALD. Excellent dielectric and insulating properties were demonstrated for both $Al_2O_3$ films.

Optical Properties Analysis of SiNx Double Layer Anti Reflection Coating by PECVD

  • Gong, Dae-Yeong;Park, Seung-Man;Yi, Jun-Sin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.149-149
    • /
    • 2010
  • The double-layer antireflection (DLAR) coatings have significant advantages over single-layer antireflection (SLAR) coatings. This is because they will be able to cover a broad range of the solar spectrum which would enhance the overall performance of solar cells. Moreover films deposited at high frequency are expected to show excellent and UV-stable passivation in the refractive index that we adopted. In this work, we present a novel DLAR coating using SiNx:H thin films with refractive indices 1.9 and 2.3 as the top and bottom layers. This approach is cost effective when compared to earlier DLAR coatings with two different materials. SiNx:H films were deposited by Plasma enhanced chemical vapor deposition (PECVD) technique using $SiH_4$, $NH_3$ and $N_2$ gases with flow rates 20~80sccm, 200sccm and 85 sccm respectively. The RF power, plasma frequency and substrate temperature for the deposition were 300W, 13.56 MHz and $450^{\circ}C$, respectively. The optimum thickness and refractive indices values for DLAR coatings were estimated theoretically using Macleod simulation software as 82.24 nm for 1.9 and 68.58 nm for 2.3 respectively. Solar cells were fabricated with SLAR and DLAR coatings of SiNx:H films and compared the cell efficacy. SiNx:H> films deposited at a substrate temperature of $450^{\circ}C$ and that at 300 W power showed best effective minority carrier lifetime around $50.8\;{\mu}s$. Average reflectance values of SLAR coatings with refractive indices 1.9, 2.05 and 2.3 were 10.1%, 9.66% and 9.33% respectively. In contrast, optimized DLAR coating showed a reflectance value as low as 8.98% in the wavelength range 300nm - 1100nm.

  • PDF

Design and Fabrication of Flexible OTFTs by using Nanocantact Printing Process (미세접촉프린팅 공정을 이용한 유연성 유기박막소자(OTFT)설계 및 제작)

  • Jo Jeong-Dai;Kim Kwang-Young;Lee Eung-Sug;Choi Byung-Oh;Esashi Masayoshi
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.10a
    • /
    • pp.506-508
    • /
    • 2005
  • In general, organic TFTs are comprised of four components: gate electrode, gate dielectric, organic active semiconductor layer, and source and drain contacts. The TFT current, in turn, is typically determined by channel length and width, carrier field effect mobility, gate dielectric thickness and permittivity, contact resistance, and biasing conditions. More recently, a number of techniques and processes have been introduced to the fabrication of OTFT circuits and displays that aim specifically at reduced fabrication cost. These include microcontact printing for the patterning of metals and dielectrics, the use of photochemically patterned insulating and conducting films, and inkjet printing for the selective deposition of contacts and interconnect pattern. In the fabrication of organic TFTs, microcontact printing has been used to pattern gate electrodes, gate dielectrics, and source and drain contacts with sufficient yield to allow the fabrication of transistors. We were fabricated a pentacene OTFTs on flexible PEN film. Au/Cr was used for the gate electrode, parylene-c was deposited as the gate dielectric, and Au/Cr was chosen for the source and drain contacts; were all deposited by ion-beam sputtering and patterned by microcontact printing and lift-off process. Prior to the deposition of the organic active layer, the gate dielectric surface was treated with octadecyltrichlorosilane(OTS) from the vapor phase. To complete the device, pentacene was deposited by thermal evaporation and patterned using a parylene-c layer. The device was shown that the carrier field effect mobility, the threshold voltage, the subthreshold slope, and the on/off current ratio were improved.

  • PDF

Effect of Compositional Ratio of Additives on the Plating Properties in Environment-Friendly Electroless Plating Reaction (친환경 무전해 도금반응에서 첨가제의 조성비가 도금특성에 미치는 영향)

  • Chun, Kyung-Soo;Paik, Gwi-Chan
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.12 no.9
    • /
    • pp.4015-4021
    • /
    • 2011
  • The purpose of this study is to investigate the effect of compositional ratio of additives, such as potassium ferrocyanide, aminoacetic acid (=glycine) and 2,2'-dipyridyl, on the physical properties of copper layer deposited by environment-friendly electroless plating reaction. The highest plating rate of copper layer, $9.5mg{\cdot}cm^{-2}{\cdot}hr^{-1}$, was obtained at 20 mg/L of potassium ferrocyanide and 0.01 mol/L of aminoacetic acid, which coincided with the change in the hardness of the copper layer. In the additives used in this study, potassium ferrocyanide was considered to improve the plating rate, aminoacetic acid increased the hardness value of deposited films and 2,2'-dipyridyl enhanced the brightness of copper deposited films.

A Study on Electro-deposited Multi-layered Diamond Tool for Grinding Sapphire Wafers (사파이어 절삭용 다층 전착 다이아몬드 공구에 대한 연구)

  • Lim, Goun;Song, William;Hong, Joo Wha
    • Journal of the Korean Society for Heat Treatment
    • /
    • v.30 no.5
    • /
    • pp.222-226
    • /
    • 2017
  • Recently sapphire wafer has expected as smart phone cover material, however, brittle nature of sapphire needed edge grinding processes to prevent early initiation of cracks. Electro-deposited multi-layered groove tools with $35{\mu}m$ diamond particles were studied for sapphire wafer grinding. Solid particle flow behaviors in agitated electrolyte was studied using PIV(Particle Image Velocimetry), and uniform particle distribution in Ni bond were obtained when agitating impeller was located lower part of electrolyte. Hardness values of $400{\pm}50Hv$ were maintained for retention of diamond particles in electro-deposited bond layer. Sapphire wafer edge grinding test was carried out and multi-layered $160{\mu}m$ thick diamond tool showed much greater grinding capabilities up to 2000 sapphire wafers than single-layered $50{\mu}m$ thick diamond electro-deposited tools of 420 wafers. The reason why 3 times thicker multi-layered tools than single-layered tools showed 5 times longer tool lives in grinding processes was attributed to self-dressed new diamond particles in multi-layered tools, and multi-layered diamond tools could be promising for sapphire grinding.

Application of Low-hydrogenated Diamond-like Carbon Film to Mo-tip Field Emitter Array (낮은 수소 함유량을 갖는 유사 다이아몬드 박막의 몰리브덴 팁 전계 방출 소자 응용)

  • Ju, Byeong-Kwon;Jung, Jae-Hoon;Lee, Yun-Hi;Kim, Hoon;Oh, Myung-Hwan
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.48 no.2
    • /
    • pp.76-79
    • /
    • 1999
  • Low-hydrogenated DLC films were coated on the Mo-tip FEAs by 'layer-by-layer' process based on the plasma-enhanced CVD method. The hydrogen content in the DLC film deposited by the 'layer-by-layer' process was appeared to be remarkably lowered through SIMS analysis. Also, the low-hydrogenated DLC-coated Mo-tip FEA showed good potentiality for FED applications in terms of turn-on voltage, emission current, emission stability and light emitting uniformity.

  • PDF