• 제목/요약/키워드: XPS.

검색결과 2,186건 처리시간 0.033초

양전자 소멸 Auger 전자 에너지 측정을 위한 Time of Flight의 분해도 향상에 관한 이론적 연구 (Simulation of Energy Resolution of Time of Flight System for Measuring Positron-annihilation induced Auger Electrons)

  • 김재홍;양태건;이종용;이병철
    • 한국진공학회지
    • /
    • 제17권4호
    • /
    • pp.311-316
    • /
    • 2008
  • 저에너지(수 eV) 양전자 빔을 이용하여 도체나 반도체의 표면/계면의 물리화학적 특성 분석에 독특한 유용성이 보고 되고 있다. 기존의 표면 분석법에 비해 표면의 선택도가 향상되어 반도체 소자의 박막 두께가 얇아지는 최신기술에 적합한 분석법으로 주목을 받고 있다. 물질표면에 조사된 저에너지 양전자는 표면 근처의 image potential에 포획이 되어 표면에 있는 전자들과 쌍소멸하며 Auger 전자를 방출한다. 표면으로부터 방출된 Auger 전자의 에너지를 측정함으로 원자의 화학적 구별이 가능하므로 검출기의 에너지 분해도가 중요하다. 기존의 ExB 형태의 에너지 측정기는 분해도가 $6{\sim}10\;eV$ 정도이고 특정한 에너지 영역만을 일정시간 스캔하여 스펙트럼을 측정하므로 측정시간이 길어진다는 단점이 있다. 반면에 Time-Of-Flight(TOF) 시스템은 방출되는 전자들의 에너지를 동시에 검출하므로 측정시간이 단축되어 측정 효율이 향상된다. 에너지 분해도를 높이기 위해서는 측정하고자 하는 전자의 진행거리를 길게 할수록 좋으나, 공간적 제약을 고려한 reflected TOF 시스템과 retarding tube을 이용한 linear TOF 시스템의 에너지 분해도를 이론적으로 시뮬레이션하였다.

Pd 코팅이 실리콘 전계 방출 어레이의 전자 방출에 미치는 영향 (The Effect of Pd Coating on Electron Emission from Silicon Field Emitter Arrays)

  • 이종람;오상표;한상윤;강승렬;이진호;조경익
    • 한국재료학회지
    • /
    • 제10권4호
    • /
    • pp.295-300
    • /
    • 2000
  • 반응성 이온 식각과 산화막을 이용한 첨예화 공정을 통하여 균일한 실리콘 팁 어레이를 제작한 후, 그 위에 Pd을 증착하여, Pd 코팅이 전계 방출특성에 미치는 영향에 대해 조사하였다. 어레이에 존재하는 표면 산화막을 제거한 후의 전계 방출 특성의 향상은 매우 작았으나, $100{\AA}$의 Pd을 코팅한 후에는 30V의 구동전압이 감소하는 등 전계 방출특성이 크게 향상되었다. 이는 Pd 코팅에 의해 팁의 표면 거칠기가 증가하고, 전자가 방출되는 팁 끝부분의 반경이 감소하였기 때문이다. 한편 Pd을 코팅한 에미터는 높은 방출 전류 영역에서 우수한 동작 안전성을 보였다. 이를 통하여 Pd이 코팅된 실리콘 에미터가 고온에서의 동작과 표면안정성에서 우수한 특성을 보임을 알 수 있었다.

  • PDF

SiH2Cl2 와 O3을 이용한 원자층 증착법에 의해 제조된 실리콘 산화막의 특성 (Characteristics of Silicon Oxide Thin Films Prepared by Atomic Layer Deposition Using Alternating Exposures of SiH2Cl2 and O3)

  • 이원준;이주현;한창희;김운중;이연승;나사균
    • 한국재료학회지
    • /
    • 제14권2호
    • /
    • pp.90-93
    • /
    • 2004
  • Silicon dioxide thin films were deposited on p-type Si (100) substrates by atomic layer deposition (ALD) method using alternating exposures of $SiH_2$$Cl_2$ and $O_3$ at $300^{\circ}C$. $O_3$ was generated by corona discharge inside the delivery line of $O_2$. The oxide film was deposited mainly from $O_3$ not from $O_2$, because the deposited film was not observed without corona discharge under the same process conditions. The growth rate of the deposited films increased linearly with increasing the exposures of $SiH_2$$Cl_2$ and $O_3$ simultaneously, and was saturated at approximately 0.35 nm/cycle with the reactant exposures over $3.6 ${\times}$ 10^{9}$ /L. At a fixed $SiH_2$$Cl_2$ exposure of $1.2 ${\times}$ 10^{9}$L, growth rate increased with $O_3$ exposure and was saturated at approximately 0.28 nm/cycle with $O_3$ exposures over$ 2.4 ${\times}$ 10^{9}$ L. The composition of the deposited film also varied with the exposure of $O_3$. The [O]/[Si] ratio gradually increased up to 2 with increasing the exposure of $O_3$. Finally, the characteristics of ALD films were compared with those of the silicon oxide films deposited by conventional chemical vapor deposition (CVD) methods. The silicon oxide film prepared by ALD at $300^{\circ}C$ showed better stoichiometry and wet etch rate than those of the silicon oxide films deposited by low-pressure CVD (LPCVD) and atmospheric-pressure CVD (APCVD) at the deposition temperatures ranging from 400 to $800^{\circ}C$.

R.F. 스퍼터링법에 의한 상변화형 광디스크의 $(ZnS)_{1-x}-(SiO_2)_x$ 보호막 제조시 기판 바이어스전압의 영향 (The Effects of Substrate Bias Voltage on the Formation of $(ZnS)_{1-x}-(SiO_2)_x$ Protective Films in Phase Change Optical Disk by R.F. Sputtering Method.)

  • 이태윤;김도훈
    • 한국재료학회지
    • /
    • 제8권10호
    • /
    • pp.961-968
    • /
    • 1998
  • 상변화형 광디스크의 보호막으로 사용되는 $ZnS-SiO_2$ 유전체막을 RF magnetron 스퍼트링방법에 의하여 제조하는 경우에 기판 바이어스전압의 영향을 조사하기 위하여, 알곤가스 분위기에서 ZnS(80mol%)-$SiO_2$(20mol%)타겟을 사용하여 Si Wafer와 Corning flass 위에 박막을 증착시켰다. 본 실험에서는 여러 실험 변수를 효과적으로 조절하면서 실험의 양을 줄이고 도시의 산포를 동시에 만족시키는 최적조건으로 타겟 RF 출력 200W, 기판 RF 출력 20W, 아르곤 압력 5mTorr과 증착시간 20분을 얻을 수 있었으며, 신뢰구간 95%에서 확인실험을 수행하였다. 증착된 박막의 열적 저항성을 측정하기 위해 $300^{\circ}C$$600^{\circ}C$에서 열처리시험을 수행하였고, Spectroscopic Ellipsometry 측정을 통한 광학적 데이터를 바탕으로 Bruggeman EMA(Effective Medium Approximation)방법을 이용하여 기공(void)분률을 측정하였다. 본 연구결과에 의하면 특성치 굴절률에 대하여 기판 바이어스인자와 증착시간 사이에는 서로 교호작용이 강하게 존재함을 확인할 수 있었다. TEM분석과 XRD 분석 결과에 의하면 기판 바이어스를 가한 최적조건에서 증착된 미세조직은 기존의 바이어스를 가하지 않을 조건에서 증착시킨 박막보다 미세한 구조를 가지며, 또한 과도한 바이어스전압은 결정구조의 조대화를 야기시켰다. 그리고 적절한 바이어스전압은 박막의 밀도를 증가시키며, 기공분률을 약 3.7%정도 감소시킴을 확인할 수 있었다.

  • PDF

Interfacial disruption effect on multilayer-films/GaN : Comparative study of Pd/Ni and Ni/Pd films

  • 김종호;강희재;김차연;전용석;서재명
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2000년도 제18회 학술발표회 논문개요집
    • /
    • pp.113-113
    • /
    • 2000
  • 직접천이형 wide band gap(3.4eV) 반도체중의 하나인 GaN를 청색 및 자외선 laser diode, 고출력 전자장비 등으로 응용하기 위해서는 낮은 접합저항을 갖는 Ohmic contact이 선행되어야 한다. 그러나 만족할만한 p-type GaN의 Ohmic contact은 아직 실현되고 있지 못하며, 이는 GaN와 접합 금속과의 구체적인 반응의 연구를 필요로 한다. 본 연구에서 앞서 Pt, Pt, Ni등의 late transition metal을 p-GaN에 접합시킨 결과 이들은 접합 당시 비교적 평탄하나 후열 처리과정에서 비교적 낮은 온도에서 기판과 열팽창계수의 차이로 인하여 평탄성을 잃어버리면서 barrier height가 증가한다는 사실을 확인하였다. 따라서 본 연구에서는 이러한 열적 불안정성을 극복하기 위하여 Ni과 Pd를 차례로 증착하고 가열하면서 interfacial reaction, film morphology, Fermi level의 움직임을 monchromatic XPS(x-ray photoelectron spectroscopy) 와 SAM(scanning Auger microscopy) 그리고 ex-situ AFM을 이용하여 밝히고자 하였다. 특히 후열처리에 의한 계면 반응에 수반되는 구성 금속원소 간의 합금현상과 금속 층의 평탄성이 밀접한 관계가 있다는 것을 확인하였다. 이러한 합금과정에서 나타나는 금속원소들의 중심 준위의 이동을 체계적으로 규명하기 위해서 Pd1-xNix와 Pd1-xGax 합금들의 표준시료를 arc melting method로 만들어 농도에 따른 금속원소들의 중심 준위의 이동을 측정하여, Pd/Ni/p-GaN 및 Ni/Pd/p-GaN 계에서 열처리 온도에 따른 interfacial reaction을 확인하였다. 그 결과 두 계가 상온에서 nitride 및 alloy를 형성하지 않고 고르게 증착되고, 열처리 온도를 40$0^{\circ}C$에서 $650^{\circ}C$까지 증가시킴에 따라 계면반응의 부산물인 metallic Ga은 증가하고 있으마 nitride는 여전히 형성되지 않는 것을 확인하였다. 증착당시 Ni이 계면에 있는 Pd/Ni/p-GaN의 경우에는 52$0^{\circ}C$까지의 열처리에 의하여 Ni과 Pd가 골고루 섞이고 그 평탄성도 유지되고 barier height의 변화도 없었다. 더 높은 $650^{\circ}C$ 가열에 의해서는 surface free energy가 작은 Ga의 활발한 편석 현상으로 인해 표면은 Ga이 풍부한 Pd-Ga의 합금층으로 덮이고, 동시에 작은 pinhole들이 발생하며 barrier height도 0.3eV 가량 증가하게 된다. 반면에 증착당시 Pd이 계면에 있는 Ni/Pd/p-GaN의 경우에는 40$0^{\circ}C$의 가열까지는 두 금속이 그들 계면에서부터 섞이나, 52$0^{\circ}C$의 가열에 의해 이미 barrier height가 0.2eV 가량 증가하기 시작하였다. 더 높은 $650^{\circ}C$가열에 의해서는 커다란 pinhole, 0.5eV 가량의 barrier height 증가, Pd clustering이 동시에 관찰되었다. 따라서 Ni과 Pd의 일함수는 물론 thermal expansion coefficient가 거의 같으며 surface free energy도 거의 일치한다는 점을 감안하면, 이렇게 뚜렷한 열적 안정성의 차이는 GaN와 contact metal과의 반응시작 온도(disruption onset temperature)의 차이에 기인함을 알 수 있었다. 즉 계면에서의 반응에 의해 편석되는 Ga에 의해 박막의 strain이 이완되면, pinhole 등의 박막결함이 줄어 들고, 이는 계면의 N의 out-diffusion을 방지하여 p-type GaN의 barrier height 증가를 막게 된다.

  • PDF

RF-PECVD로 성장시킨 $a-Si_{1-x}C_x:H$ 박막의 증착조건에 따른 광학적 특성 분석

  • 박문기;김용탁;홍병유
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2000년도 제18회 학술발표회 논문개요집
    • /
    • pp.76-76
    • /
    • 2000
  • 최근 비정질 SiC 박막은 열과 광안정도면에서 비정질 Si 박막에 비해 우수하며 공정변수들을조절함으로써 비교적 쉽고 다양하게 광학적.전기적 특성을 얻을 수 있고, 낮은 광흡수계수 및 105($\Omega$cm)1 이상의 높은 전도도를 가지고 있어 Plasma Enhanced Chemical Vapor Deposition(PECVD)을 통해 가전자제어 (Valency electron control)가 가능한 비정질 SiC 박막이 제작된 이래 대한 많은 연구가 진행되고 있다. 결정성이 없는 비정질 물질은 상대적으로 낮은 온도에서 성장이 가능하며, 특히 glow-sidcharge 방식으로 저온에서 성장시킬 수 있음에 따라 유리등과 같은 다른 저렴한 물질을 기판으로 이용, 넓은 면적의 비정질 SiC 박막을 성장시켜 여러 분야의 소자에 응용되고 있다. 비정질 SiC 박막이 넓은 에너지띠 간격을 갖는 물질이라는 점과 화학적 안정성 및 높은 경도, 비정질성에 기인한 대면적 성장의 용이성 등의 장점이외에, 원자의 성분비 변화에 의해 에너지띠 간격(1.7~3.1eV)을 조절할 수 있다는 점은 광전소자의 응용에 큰 잠재성이 있음을 나타낸다. PECVD 방식으로 성장된 비정질 SiC 박막은 태양전지의 Window층이나 발광다이오드, 광센서, 광트랜지스터 등에 응용되어 오고 있다. 본 연구에서는, RF-PECVD(ULVAC CPD-6018) 방법에 의하여 비정질 Si1-xCx 박막을 2.73Torr의 고정된 압력에서 RF 전력(50~300W), 증착온도(150~30$0^{\circ}C$), 주입 가스량 (SiH4:CH4)등의 조건을 다양하게 변화시켜가며 증착된 막의 특성을 평가하였다. 성장된 박막을 X-ray Photoelectron Spectroscopy(XPS), UV-VIS spectrophotometer, Ellipsometry, Atomic Force Microscopy(AFM)등을 이용하여 광학적 밴드갭, 광흡수 계수, Tauc Plot, 그리고 파장대별 빛의 투과도의 변화를 분석하였으며 각 변수가 변화함에 따라 광학적 밴드갭의 변화를 정량적으로 조사함으로써 분자결합상태와 밴드갭과 광 흡수 계수간의상관관계를 규명하였고, 각 변수에 따른 표면의 조도를 확인하였다. 비정질 Si1-xCx 박막을 증착하여 특성을 분석한 결과 성장된 박막의 성장률은 Carbonfid의 증가에 따라 다른 성장특성을 보였고, Silcne(SiH4) 가스량의 감소와 함께 박막의 성장률이 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 줄어들어 성장률이 Silane가스량에 의해 지배됨을 볼 수 있다. UV-VIS spectrophotometer에 의한 비정질 SiC 박막의 투과도와 파장과의 관계에 있어 유리를 기판으로 사용했으므로 유리의투과도를 감안했으며, 유리에 대한 상대적인 비율 관계로 투과도를 나타냈었다. 또한 비저질 SiC 박막의 흡수계수는 Ellipsometry에 의해 측정된 Δ과 Ψ값을 이용하여 시뮬레이션한 결과로 비정질 SiC 박막의 두께를 이용하여 구하였다. 또한 Tauc Plot을 통해 박막의 optical band gap을 2.6~3.7eV로 조절할 수 있었다.

  • PDF

Thickness Determination of Ultrathin Gate Oxide Grown by Wet Oxidation

  • 장효식;황현상;이확주;조현모;김현경;문대원
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2000년도 제18회 학술발표회 논문개요집
    • /
    • pp.107-107
    • /
    • 2000
  • 최근 반도체 소자의 고집적화 및 대용량화의 경향에 다라 MOSFET 소자 제작에 이동되는 게이트 산화막의 두께가 수 nm 정도까지 점점 얇아지는 추세이고 Giga-DRAM급 차세대 UNSI소자를 제작하기 위해 5nm이하의 게이트 절연막이 요구된다. 이런 절연막의 두께감소는 게이트 정전용량을 증가시켜 트랜지스터의 속도를 빠르게 하며, 동시에 저전압동작을 가능하게 하기 때문에 게이트 산화막의 두께는 MOS공정세대가 진행되어감에 따라 계속 감소할 것이다. 따라서 절연막 두께는 소자의 동작 특성을 결정하는 중요한 요소이므로 이에 대한 정확한 평가 방법의 확보는 공정 control 측면에서 필수적이다. 그러나, 절연막의 두께가 작아지면서 게이트 산화막과 crystalline siliconrksm이 계면효과가 박막의 두께에 심각한 영향을 주기 때문에 정확한 두께 계측이 어렵고 계측방법에 따라서 두께 계측의 차이가 난다. 따라서 차세대 반도체 소자의 개발 및 양산 체계를 확립하기 위해서는 산화막의 두께가 10nm보다 작은 1nm-5nm 수준의 박막 시료에 대한 두께 계측 방법이 확립이 되어야 한다. 따라서, 본 연구에서는 습식 산화 공정으로 제작된 3nm-7nm 의 게이트 절연막을 현재까지 알려진 다양한 두께 평가방법을 비교 연구하였다. 절연막을 MEIS (Medim Energy Ion Scattering), 0.015nm의 고감도를 가지는 SE (Spectroscopic Ellipsometry), XPS, 고분해능 전자현미경 (TEM)을 이용하여 측정 비교하였다. 또한 polysilicon gate를 가지는 MOS capacitor를 제작하여 소자의 Capacitance-Voltage 및 Current-Voltage를 측정하여 절연막 두께를 계산하여 가장 좋은 두께 계측 방법을 찾고자 한다.다. 마이크로스트립 링 공진기는 링의 원주길이가 전자기파 파장길이의 정수배가 되면 공진이 일어나는 구조이다. Fused quartz를 기판으로 하여 증착압력을 변수로 하여 TiO2 박막을 증착하였다. 그리고 그 위에 은 (silver)을 사용하여 링 패턴을 형성하였다. 이와 같이 공진기를 제작하여 network analyzer (HP 8510C)로 마이크로파 대역에서의 공진특서을 측정하였다. 공진특성으로부터 전체 품질계수와 유효유전율, 그리고 TiO2 박막의 품질계수를 얻어내었다. 측정결과 rutile에서 anatase로 박막의 상이 변할수록 유전율은 감소하고 유전손실은 증가하는 결과를 나타내었다.의 성장률이 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 줄어들어 성장률이 Silane가스량에 의해 지배됨을 볼 수 있다. UV-VIS spectrophotometer에 의한 비정질 SiC 박막의 투과도와 파장과의 관계에 있어 유리를 기판으로 사용했으므로 유리의투과도를 감안했으며, 유리에 대한 상대적인 비율 관계로 투과도를 나타냈었다. 또한 비저질 SiC 박막의 흡수계수는 Ellipsometry에 의해 측정된 Δ과 Ψ값을 이용하여 시뮬레이션한 결과로 비정질 SiC 박막의 두께를 이용하여 구하였다. 또한 Tauc Plot을 통해 박막의 optical band gap을 2.6~3.7eV로 조절할 수 있었다. 20$0^{\circ}C$이상으로 증가시켜도 광투과율은 큰 변화를 나타내지 않았다.부터 전분-지질복합제의 형성 촉진이 시사되었다.이것으로 인하여 호화억제에 의한 노화 방지효과가 기대되었지만 실제로 빵의 노화는 현저히 진행되었다

  • PDF

CMOS 소자 응용을 위한 Plasma doping과 Silicide 형성

  • 최장훈;도승우;서영호;이용현
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.456-456
    • /
    • 2010
  • CMOS 소자가 서브마이크론($0.1\;{\mu}m$) 이하로 스케일다운 되면서 단채널 효과(short channel effect), 게이트 산화막(gate oxide)의 누설전류(leakage current)의 증가와 높은 직렬저항(series resistance) 등의 문제가 발생한다. CMOS 소자의 구동전류(drive current)를 높이고, 단채널 효과를 줄이기 위한 가장 효율적인 방법은 소스 및 드레인의 얕은 접합(shallow junction) 형성과 직렬 저항을 줄이는 것이다. 플라즈마 도핑 방법은 플라즈마 밀도 컨트롤, 주입 바이어스 전압 조절 등을 통해 저 에너지 이온주입법보다 기판 손상 및 표면 결함의 생성을 억제하면서 고농도로 얕은 접합을 형성할 수 있다. 그리고 얕은 접합을 형성하기 위해 주입된 불순물의 활성화와 확산을 위해 후속 열처리 공정은 높은 온도에서 짧은 시간 열처리하여 불순물 물질의 활성화를 높여주면서 열처리로 인한 접합 깊이를 얕게 해야 한다. 그러나 접합의 깊이가 줄어듦에 따라서 소스 및 드레인의 표면 저항(sheet resistance)과 접촉저항(contact resistance)이 급격하게 증가하는 문제점이 있다. 이러한 표면저항과 접촉저항을 줄이기 위한 방안으로 실리사이드 박막(silicide thin film)을 형성하는 방법이 사용되고 있다. 본 논문에서는 (100) p-type 웨이퍼 He(90 %) 가스로 희석된 $PH_3$(10 %) 가스를 사용하여 플라즈마 도핑을 실시하였다. 10 mTorr의 압력에서 200 W RF 파워를 인가하여 플라즈마를 생성하였고 도핑은 바이어스 전압 -1 kV에서 60 초 동안 실시하였다. 얕은 접합을 형성하기 위한 불순물의 활성화는 ArF(193 nm) excimer laser를 통해 $460\;mJ/cm^2$의 에니지로 열처리를 실시하였다. 그리고 낮은 접촉비저항과 표면저항을 얻기 위해 metal sputter를 통해 TiN/Ti를 $800/400\;{\AA}$ 증착하고 metal RTP를 사용하여 실리사이드 형성 온도를 $650{\sim}800^{\circ}C$까지 60 초 동안 열처리를 실시하여 $TiSi_2$ 박막을 형성하였다. 그리고 $TiSi_2$의 두께를 측정하기 위해 TEM(Transmission Electron Microscopy)을 측정하였다. 화학적 결합상태를 분석하기 위해 XPS(X-ray photoelectronic)와 XRD(X-ray diffraction)를 측정하였다. 접촉비저항, 접촉저항과 표면저항을 분석하기 위해 TLM(Transfer Length Method) 패턴을 제작하여 I-V 특성을 측정하였다. TEM 측정결과 $TiSi_2$의 두께는 약 $580{\AA}$ 정도이고 morphology는 안정적이고 실리사이드 집괴 현상은 발견되지 않았다. XPS와 XRD 분석결과 실리사이드 형성 온도가 $700^{\circ}C$에서 C54 형태의 $TiSi_2$ 박막이 형성되었고 가장 낮은 접촉비저항과 접촉저항 값을 가진다.

  • PDF

암모니아수 처리에 따른 바나듐 레독스 흐름전지용 탄소펠트 전극의 전기화학적 특성 (Electrochemical Properties of Carbon Felt Electrode for Vanadium Redox Flow Batteries by Liquid Ammonia Treatment)

  • 김예솔;조세호;박세국;전재덕;이영석
    • 공업화학
    • /
    • 제25권3호
    • /
    • pp.292-299
    • /
    • 2014
  • 본 연구에서는 바나듐 레독스 흐름전지의 효율을 향상시키고자 탄소펠트에 열산화 반응과 암모니아수 처리를 이용하여 질소가 도핑된 탄소펠트 전극을 제조하였다. 또한 제조된 탄소펠트 전극의 전기화학적 특성평가를 위하여 CV 실험 및 충/방전 실험을 실시하였다. 암모니아수 처리온도가 증가함에 따라 탄소펠트 표면의 질소 관능기가 증가함을 XPS를 통하여 확인하였으며, CV 측정 결과 암모니아수 처리된 탄소펠트는 열산화된 탄소펠트에 비하여 산화/환원의 반응성이 우수함을 확인하였다. 충/방전 실험결과 $300^{\circ}C$에서 암모니아수 처리한 탄소펠트 전극은 열산화된 탄소펠트 전극보다 에너지효율, 전압효율, 전류효율이 각각 약 6.93, 1.0, 4.5%씩 향상됨을 알 수 있었다. 이는 질소 관능기가 탄소펠트 전극과 전해질 사이의 전기화학적 성능 향상에 도움을 주었기 때문으로 사료된다.

키토산/제올라이트 복합체의 이산화탄소 흡착 특성 (Adsorption Characteristics of Carbon Dioxide on Chitosan/Zeolite Composites)

  • 홍웅길;황경준;정경원;윤순도;심왕근
    • 공업화학
    • /
    • 제31권2호
    • /
    • pp.179-186
    • /
    • 2020
  • 이 연구에서는 CO2 가스의 흡착 분리를 위해 현무암 기반 제올라이트에 키토산 수용액을 함침하여 키토산/제올라이트 복합체를 제조하였다. 제조한 복합체의 물리화학적 특성은 SEM, 질소 흡착, FT-IR, TGA, XPS로 분석하였다. 또한 부피식 흡착장치를 이용하여 복합체의 CO2와 N2 흡착량을 298 K에서 측정하고 그 결과를 흡착등온식(Langmuir, Freundlich, Sips)과 흡착에너지 분포함수(AED)로 조사하였다. 복합흡착제의 CO2 흡착량은 키토산과 제올라이트의 구조적 특성과 복합체 표면에 새롭게 형성된 원소인 N/C와 Al/(Si + Al)의 비율에 상관관계가 있었다. 그리고 CO2/N2 분리 선택도는 Langmuir 흡착등온식과 이상흡착용액이론(IAST)을 이용하여 혼합물 조성이 15 V : 85 V, 50 V : 50 V, 85 V : 15 V인 조건에서 비교하였다.