• 제목/요약/키워드: Variation of Average Delay

검색결과 42건 처리시간 0.03초

Machine Learning Based Variation Modeling and Optimization for 3D ICs

  • Samal, Sandeep Kumar;Chen, Guoqing;Lim, Sung Kyu
    • Journal of information and communication convergence engineering
    • /
    • 제14권4호
    • /
    • pp.258-267
    • /
    • 2016
  • Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging within-die variations. This adds an additional design complexity and makes variation estimation and full-chip optimization even more challenging. In this paper, we show that the industry standard on-chip variation (AOCV) tables cannot be applied directly to 3D paths that are spanning multiple dies. We develop a new machine learning-based model and methodology for an accurate variation estimation of logic paths in 3D designs. Our model makes use of key parameters extracted from existing GDSII 3D IC design and sign-off simulation database. Thus, it requires no runtime overhead when compared to AOCV analysis while achieving an average accuracy of 90% in variation evaluation. By using our model in a full-chip variation-aware 3D IC physical design flow, we obtain up to 16% improvement in critical path delay under variations, which is verified with detailed Monte Carlo simulations.

A Study on an Adaptive AQM Using Queue Length Variation

  • Seol, Jeong-Hwan;Lee, Ki-Young
    • Journal of information and communication convergence engineering
    • /
    • 제6권1호
    • /
    • pp.19-23
    • /
    • 2008
  • The AQM (Active Queue Management) starts dropping packets earlier to notify traffic sources about the incipient stage of congestion. The AQM improves fairness between response flow (like TCP) and non-response flow (like UDP), and it can provide high throughput and link efficiency. In this paper, we suggest the QVARED (Queue Variation Adaptive RED) algorithm to respond to bursty traffic more actively. It is possible to provide more smoothness of average queue length and the maximum packet drop probability compared to RED and ARED (Adaptive RED). Therefore, it is highly adaptable to new congestion condition. Our simulation results show that the drop rate of QVARED is decreased by 80% and 40% compare to those of RED and ARED, respectively. This results in shorter end-to-end delay by decreasing the number of retransmitted packets. Also, the QVARED reduces a bias effect over 18% than that of drop-tail method; therefore packets are transmitted stably in the bursty traffic condition.

발파에 의한 지반진동 및 소음 저감을 위한 지연시차 조절에 관한 연구 (A Study on Delay Time Control for Lowering Grounding Vibration and Noise Induced by Blasting)

  • 이봉현;최성웅
    • 화약ㆍ발파
    • /
    • 제32권3호
    • /
    • pp.18-25
    • /
    • 2014
  • 발파에 의한 진동과 소음은 주변 지역에 대하여 인적 물적 피해를 일으킬 수 있는 대표적인 환경피해 요소이다. 본 연구에서는 지연시차를 7단계로 변화시키고 각 단계마다 3회씩 반복하여 총 21회의 발파를 수행하였으며, 총 50개의 측정값으로 환산거리에 의한 발파진동 추정식을 도출하였고, 진동속도 측정값과 주파수를 이용하여 Langefors 시차이론을 적용하였다. 지연시차가 8ms와 28ms일 경우 진동속도의 평균값은 각각 5.76cm/sec 및 5.75cm/sec로 비교적 낮은 값을 나타내었으며, 지연시차에 따라 주기적으로 높은 측정값과 낮은 측정값이 반복되어 간섭효과를 확인할 수 있었다. 진동속도와 주파수의 측정값으로 Langefors의 시차이론을 적용한 결과, 본 연구에서 발파진동을 저감할 수 있는 최적의 지연시차는 8ms와 24ms로 확인되었다.

Voltage Optimization of Power Delivery Networks through Power Bump and TSV Placement in 3D ICs

  • Jang, Cheoljon;Chong, Jong-Wha
    • ETRI Journal
    • /
    • 제36권4호
    • /
    • pp.643-653
    • /
    • 2014
  • To reduce interconnect delay and power consumption while improving chip performance, a three-dimensional integrated circuit (3D IC) has been developed with die-stacking and through-silicon via (TSV) techniques. The power supply problem is one of the essential challenges in 3D IC design because IR-drop caused by insufficient supply voltage in a 3D chip reduces the chip performance. In particular, power bumps and TSVs are placed to minimize IR-drop in a 3D power delivery network. In this paper, we propose a design methodology for 3D power delivery networks to minimize the number of power bumps and TSVs with optimum mesh structure and distribute voltage variation more uniformly by shifting the locations of power bumps and TSVs while satisfying IR-drop constraint. Simulation results show that our method can reduce the voltage variation by 29.7% on average while reducing the number of power bumps and TSVs by 76.2% and 15.4%, respectively.

다중 방송 채널에 데이터 할당을 위한 두 단계 저장소-적재 알고리즘 (Two Level Bin-Packing Algorithm for Data Allocation on Multiple Broadcast Channels)

  • 권혁민
    • 한국멀티미디어학회논문지
    • /
    • 제14권9호
    • /
    • pp.1165-1174
    • /
    • 2011
  • 데이터 방송 시스템에서 서버는 방송 채널을 통하여 데이터들을 지속적으로 전파하고, 이동 클라이언트는 자신이 원하는 데이터가 방송 채널에 나타나기를 기다리기만 하면 된다. 그러나 방송 채널은 많은 데이터들에 의해 공유되어야 하므로, 원하는 데이터를 수신하기까지 예상 지연시간이 증가할 수 있다. 본 논문은 전체 데이터들의 예상 지연시간을 최소화하기 위하여 다중 방송 채널에 적절하게 데이터를 할당하기 위한 주제를 연구하여 TLBP(Two Level Bin-Packing)로 명명된 새로운 데이터 할당 기법을 제안한다. 본 논문은 우선 평균 예상지연시간의 이론적 하한 값을 소개하고, 이 값에 기초하여 저장소의 용량을 결정한다. TLBP 기법은 저장소-적재 알고리즘을 이용하여 전체 데이터들을 다수 개의 그룹으로 분할하고, 각 그룹의 데이터들을 각 채널에 배정한다. TLBP는 저장소-적재 알고리즘을 두 단계로 적용함에 의해, 동일 방송 채널에 할당된 데이터들의 액세스 확률의 차이를 방송 스케줄에 반영할 수 있어 성능을 향상시킬 수 있다. TLBP와 세가지의 기존 기법과 성능을 비교하기 위하여 시뮬레이션이 수행되었다. 시뮬레이션 결과에 의하면 TLBP는 합리적인 실행부담을 가지면서도 평균 예상지연시간의 성능에 있어서 다른 기법보다 우수한 성능을 보인다.

Wireless MAN에서 Best Effort 서비스를 위한 자원 요청 스케줄링 방식의 성능 분석 (Resource Request Scheduling for Best Effort Service in Wireless MAN : Performance Analysis)

  • 박진경;신우철;하준;최천원
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2003년도 하계종합학술대회 논문집 I
    • /
    • pp.57-60
    • /
    • 2003
  • IEEE 802.16 Wireless MAN standard specifies the air interface of fixed point-to-multipoint broadband wireless access systems providing multiple service. Among the service classes supported by the wireless MAN, the BE class is ranked on the lowest position in priority and is usually deployed by multicast and broadcast polling MAC scheme. In provisioning such BE service, the delay performance is influenced by a number of components including restrictions on resource request per SS, the number of request opportunities in upward frame, scheduling requests at BS, and contention resolution method. As candidate components of MAC function for BE service, we propose single and multiple request schemes (for controling the number of requests per SS), exhaustive and limited request schemes (for regulating the amount of grant per request) and FCFS, H-SMF, pure SMF, SS-wise Round Robin, and pure Round Robin (for scheduling requests at BS). Then, we construct MAC schemes by combining the above components and evaluate the delay performance exhibited by each MAC scheme using a simulation method. From numerical results, we investigate the effect of MAC components on average delay and delay variation and observe the dissonance on collision reduction in a resource - limited environment.

  • PDF

순시전력 합성 개념을 이용한 가상주파수 3상 실효전압 계측기법 (3-Phase RMS Voltage Measurement Method of Virtual Frequence using Instantaneous Power Component Concept)

  • 박성미;양지훈;박성준
    • 한국산업융합학회 논문집
    • /
    • 제22권3호
    • /
    • pp.251-257
    • /
    • 2019
  • This paper proposes a new measurement method using virtual power concept to measure the effective value of 3-phase voltage with variable frequency. The conventional effective value measurement method uses a method of integrating data sampled during one or half cycle of the power voltage and averaging it. In this method, since the effective voltage is calculated every cycle, a time delay occurs in the measured effective voltage and it is s a problem to measure the effective value of a device whose frequency varies from time to time, such as a generator. The proposed 3-phase voltage rms measurement method has an advantage that it can measure accurate voltage RMS value regardless of measurement frequency variation. In particular, there is an advantage in that it is possible to measure a 3-phase effective voltage rather than an average value of the effective voltage of each phase in a 3-phase unbalance voltage. In addition, the validity of the proposed method is verified by using the Psim simulation tool and the experimental results are analyzed by applying the proposed measurement algorithm to the actual three phase synchronous generator voltage measurement experiment.

THE EFFECT OF SURFACE METEOROLOGICAL MEASUREMENTS ON PRECISION GPS HEIGHT DETERMINATION

  • Wang Chuan-Sheng;Liou Yuei-An;Wang Cheng-Gi
    • 대한원격탐사학회:학술대회논문집
    • /
    • 대한원격탐사학회 2005년도 Proceedings of ISRS 2005
    • /
    • pp.178-181
    • /
    • 2005
  • The positioning accuracy of the Global Positioning System (GPS) has been improved considerably during the past two decades. The main error sources such as ionospheric refraction, orbital uncertainty, antenna phase center variation, signal multipath, and tropospheric delay have been reduced substantially, if not eliminated. In this study, the GPS data collected by the GPS receivers that were established as continuously operating reference stations by International GNSS Service (IGS), Ministry of the Interior (MOl), Central Weather Bureau (CWB), and Industrial Technology Research Institute (ITRI) Of Taiwan are utilized to investigate the impact of atmospheric water vapor on GPS positioning determination. The surface meteorological measurements that were concurrently acquired by instruments co-located with the GPS receivers include temperature, pressure and humidity data. To obtain the influence of the GPS height on the proposed impact study. A hydrodynamic ocean tide model (GOTOO.2 model) and solid earth tide were used to improve the GPS height. The surface meteorological data (pressure, temperature and humidity) were introduced to the data processing with 24 troposphere parameters. The results from the studies associated with different GPS height were compared for the cases with and without a priori knowledge of surface meteorological measurements. The finding based on the measurements in 2003 is that the surface meteorological measurements have an impact on the GPS height. The associated daily maximum of the differences is 1.07 cm for the KDNM station. The impact is reduced due to smoothing when the average of the GPS height for the whole year is considered.

  • PDF

연동교차로를 위한 통행시간기반 신호제어 알고리즘의 현장 적용 및 평가 (Application and Evaluation of a Traffic Signal Control Algorithm based on Travel Time Information for Coordinated Arterials)

  • 정영제;김영찬
    • 대한교통학회지
    • /
    • 제27권5호
    • /
    • pp.179-187
    • /
    • 2009
  • 본 연구에서는 구간통행시간을 기반으로 하는 실시간 교통신호제어 알고리즘을 개발하였으며, 알고리즘의 현장 실험 및 평가를 수행하였다. 구간통행시간 기반 실시간 신호제어 알고리즘은 전체 접근로의 지체 균등화를 목적함수로 하며, 주요 과정으로는 개별차량 지체와 차량관측 시간을 이용한 대기행렬 해소시간의 산정과 이를 기반으로 교차로 지체를 산정하는 과정이다. 이후 이동류별 주기단위 지체변화량을 산정하고, 주기 결정 및 현시 배분 등의 과정이 이루어진다. 이동류별 지체의 산정과정에서는 연동교차로의 특성을 고려하여 HCM의 연동계수를 이용한 독립교차로에서의 지체로 환산하는 과정을 수행하며, 이때 누적주기의 프르브 차량 정보를 이용함으로써 보다 안정적 신호제어를 도모하였다. 통행시간 기반 신호제어 알고리즘의 현장실험 및 평가를 통해 TOD 제어와의 비교평가를 수행하였으며, 실험대상 가로축은 약 400m의 평균 교차로 간격을 가지는 일련의 4개 교차로로 구성되어 있다. 현장실험 및 평가 결과 TOD 제어 대비 지체 감소 효과와 더불어 이동류별 지체의 표준편차 감소를 통해 지체의 균등화 효과를 확인하였다. 본 연구를 통해 교통정보수집을 위한 범용의 검지체계에서 산출되는 개별차량의 구간통행시간 정보를 기반으로 하는 실시간 신호제어 알고리즘의 개발 및 평가를 수행하였으며, 이는 유비쿼터스 환경의 급속한 확산이라는 교통환경의 변화에 부응하기 위한 신호제어 방법론의 근본적인 변화에 궁극적인 목표를 두고 있다.

Spatio-temporal Variation of Groundwater Level and Electrical Conductivity in Coastal Areas of Jeju Island

  • Lim, Woo-Ri;Park, Won-Bae;Lee, Chang-Han;Hamm, Se-Yeong
    • 한국지구과학회지
    • /
    • 제43권4호
    • /
    • pp.539-556
    • /
    • 2022
  • In the coastal areas of Jeju Island, composed of volcanic rocks, saltwater intrusion occurs due to excessive pumping and geological characteristics. Groundwater level and electrical conductivity (EC) in multi-depth monitoring wells in coastal areas were characterized from 2005 to 2019. During the period of the lowest monthly precipitation, from November 2017 until February 2018, groundwater level decreased by 0.32-0.91 m. During the period of the highest monthly precipitation, from September 2019 until October 2019, groundwater level increased by 0.46-2.95 m. Groundwater level fluctuation between the dry and wet seasons ranged from 0.79 to 3.73 m (average 1.82 m) in the eastern area, from 0.47 to 6.57 m (average 2.55 m) in the western area, from 0.77 to 8.59 m (average 3.53 m) in the southern area, and from 1.06 to 12.36 m (average 5.92 m) in the northern area. In 2013, when the area experienced decreased annual precipitation, at some monitoring wells in the western area, the groundwater level decreased due to excessive groundwater pumping and saltwater intrusion. Based on EC values of 10,000 ㎲/cm or more, saltwater intrusion from the coastline was 10.2 km in the eastern area, 4.1 km in the western area, 5.8 km in the southern area, and 5.7 km in the northern area. Autocorrelation analysis of groundwater level revealed that the arithmetic mean of delay time was 0.43 months in the eastern area, 0.87 months in the northern area, 10.93 months in the southern area, and 17.02 months in the western area. Although a few monitoring wells were strongly influenced by nearby pumping wells, the cross-correlation function of the groundwater level was the highest with precipitation in most wells. The seasonal autoregressive integrated moving average model indicated that the groundwater level will decrease in most wells in the western area and decrease or increase in different wells in the eastern area.