• Title/Summary/Keyword: Trench Etching

Search Result 52, Processing Time 0.034 seconds

The Study for Investigation of the sufficient vertical profile with reducing loading effect for silicon deep trench etching (Vertical Profile Silicon Deep Trench Etch와 Loading effect의 최소화에 대한 연구)

  • Kim, Sang-Yong;Jeong, Woo-Yang;Yi, Keun-Man;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.118-119
    • /
    • 2009
  • This paper presents the feature profile evolution silicon deep trench etching, which is very crucial for the commercial wafer process application. The silicon deep trenches were etched with the SF6 gas & Hbr gas based process recipe. The optimized silicon deep trench process resulted in vertical profiles (87o~90o) with loading effect of < 1%. The process recipes were developed for the silicon deep trench etching applications. This scheme provides vertically profiles without notching of top corner was observed. In this study, the production of SF6 gas based silicon deep trench etch process much more strongly than expected on the basis of Hbr gas trench process that have been investigated by scanning electron microscope (SEM). Based on the test results, it is concluded that the silicon deep trench etching shows the sufficient profile for practical MOS FET silicon deep trench technology process.

  • PDF

A Study on the Formation of Trench Gate for High Power DMOSFET Applications (고 전력 DMOSFET 응용을 위한 트렌치 게이트 형성에 관한 연구)

  • 박훈수;구진근;이영기
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.713-717
    • /
    • 2004
  • In this study, the etched trench properties including cross-sectional profile, surface roughness, and crystalline defects were investigated depending on the various silicon etching and additive gases, For the case of HBr$He-O_2SiF_4$ trench etching gas mixtures, the excellent trench profile and minimum defects in the silicon trench were achieved. Due to the residual oxide film grown by the additive oxygen gas, which acts as a protective layer during trench etching, the undercut and defects generation in the trench were suppressed. To improve the electrical characteristics of trench gate, the hydrogen annealing process after trench etching was also adopted. Through the hydrogen annealing, the trench corners might be rounded by the silicon atomic migration at the trench corners having high potential. The rounded trench corner can afford to reduce the gate electric field and grow a uniform gate oxide. As a result, dielectric strength and TDDB characteristics of the hydrogen annealed trench gate oxide were remarkably increased compared to the non-hydrogen annealed one.

Characterization of Deep Dry Etching of Silicon Single Crystal by HDP (HDP를 이용한 실리콘 단결정 Deep Dry Etching에 관한 특성)

  • 박우정;김장현;김용탁;백형기;서수정;윤대호
    • Journal of the Korean Ceramic Society
    • /
    • v.39 no.6
    • /
    • pp.570-575
    • /
    • 2002
  • The present tendency of electrical and electronics is concentrated on MEMS devices for advantage of miniaturization, intergration, low electric power and low cost. Therefore it is essential that high aspect ratio and high etch rate by HDP technology development, so that silicon deep trench etching reactions was studied by ICP equipment. Deep trench etching of silicon was investigated as function of platen power, etch step time of etch/passivation cycle time and SF$\_$6/:C$_4$F$\_$8/ flow rate. Their effects on etch profile, scallops, etch rate, uniformity and selectivity were also studied.

The Trench Design Using Sentaurus Tool (Sentaurus를 이용한 트렌치 제작 공정)

  • Lee, Sang-Ho;Jung, Hak-Kee;Lee, Jae-Hyung;Jeong, Dong-Soo;Lee, Jong-In
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2007.06a
    • /
    • pp.544-547
    • /
    • 2007
  • 본 연구에서는 Shallow trench isolation(STI)를 형성하기 위한 과정을 제시할 것이다. 소자간 분리를 위한 전통적인 방법으로 LOCOS(Local Oxidation of Silicon) 방식이 사용되어왔으나, 소자가 미세해짐에 따라 LOCOS 방식에서 나타나는 단차와 Birds Beak이라는 횡 방향의 산화에 의한 활성 영역의 손실을 무시할 수 없게 되어 새로운 소자 분리 방법이 필요하게 되었으며 이러한 요구에 의해 도입된 Isolation 기술이 Shallow Trench Isolation(STI) 기술이다. 다양한 etching options은 중요한 부분이다. 이 경우에 trench etching의 방향은 점점 좁아지는 측면을 경사지게 하면서 협곡을 만드는 효과적인 방법을 사용할 것이다. 본 연구에서는 좁은 협곡(Shallow trench)의 절반만 시뮬레이션 될 것이다. 만약 모든 협곡의 시뮬레이션을 필요로 한다면 다변의 etching은 사용될 수 있다. STI 공정의 핵심은 trench etch를 좁게하면서 반도체 소자를 어떻게 하면 잘 분리할 수 있는가에 있다.

  • PDF

Analysis of Amorphous Carbon Hard Mask and Trench Etching Using Hybrid Coupled Plasma Source

  • Park, Kun-Joo;Lee, Kwang-Min;Kim, Min-Sik;Kim, Kee-Hyun;Lee, Weon-Mook
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.74-74
    • /
    • 2009
  • The ArF PR mask was. developed to overcome the limit. of sub 40nm patterning technology with KrF PR. But ArF PR difficult to meet the required PR selectivity by thin PR thickness. So need to the multi-stack mask such as amorphous carbon layer (ACL). Generally capacitively coupled plasma (CCP) etcher difficult to make the high density plasma and inductively coupled plasma (ICP) type etcher is more suitable for multi stack mask etching. Hybrid Coupled Plasma source (HCPs) etcher using the 13.56MHz RF power for ICP source and 2MHz and 27.12MHz for bias power was adopted to improve the process capability and controllability of ion density and energy independently. In the study, the oxide trench which has the multi stack layer process was investigated with the HCPs etcher (iGeminus-600 model DMS Corporation). The results were analyzed by scanning electron microscope (SEM) and it was found that etching characteristic of oxide trench profile depend on the multi-stack mask.

  • PDF

Analysis of Lattice Temperature in Super Junction Trench Gate Power MOSFET as Changing Degree of Trench Etching

  • Lee, Byeong-Il;Geum, Jong Min;Jung, Eun Sik;Kang, Ey Goo;Kim, Yong-Tae;Sung, Man Young
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.3
    • /
    • pp.263-267
    • /
    • 2014
  • Super junction trench gate power MOSFETs have been receiving attention in terms of the trade-off between breakdown voltage and on-resistance. The vertical structure of super junction trench gate power MOSFETs allows the on-resistance to be reduced compared with conventional Trench Gate Power MOSFETs. The heat release of devices is also decreased with the reduction of on-resistance. In this paper, Lattice Temperature of two devices, Trench Gate Power MOSFET and Super junction trench gate power MOSFET, are compared in several temperature circumstance with the same Breakdown Voltage and Cell-pitch. The devices were designed by 100V Breakdown voltage and measured from 250K Lattice Temperature. We have tried to investigate how much temperature rise in the same condition. According as temperature gap between top of devices and bottom of devices, Super junction trench gate power MOSFET has a tendency to generate lower heat release than Trench Gate Power MOSFET. This means that Super junction trench gate power MOSFET is superior for wide-temperature range operation. When trench etching process is applied for making P-pillar region, trench angle factor is also important component. Depending on trench angle, characteristics of Super junction device are changed. In this paper, we focus temperature characteristic as changing trench angle factor. Consequently, Trench angle factor don't have a great effect on temperature change.

Electrical Characteristics of Trench Capacitor with Various Structures (여러가지 구조를 갖는 Trench Capacitor의 전기적 특성)

  • Lee, Jin Hee;Nam, Kee Soo;Kim, Mal Moon;Park, Sin Chong
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.24 no.1
    • /
    • pp.85-90
    • /
    • 1987
  • Trench capacitors with four different structures were fabricated using plasma and reactive ion etching technique, and evaluated using their C-V and I-V characteristics. The results shows that the two step plasma etching technique is the best method to fabricate the trench capacitor because of its high breakdown field (~7.75 MV/Cm) and good step coverage. And the fixed oxide charges are comparable between the trench (3.6xE10/Cm\ulcorner~7.5xE10/Cm\ulcorner and the planar(4.5xE10/Cm\ulcorner~6.5E10/Cm\ulcorner capacitors.

  • PDF

Fabrication of the accelerometer using the nano-gap trench etching (나노갭 트렌치 공정을 이용한 가속도센서 제작)

  • Kim, Hyeon-Cheol;Kwon, Hee-jun
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.9 no.2
    • /
    • pp.155-161
    • /
    • 2016
  • This paper proposes a novel fabrication method for a capacitive type micro-accelerometer with uniform nano-gap using photo-assisted electro-chemical etching. The sensitivity of the accelerometer should be improved while the electrodes between the inertial mass and the sensing comb should be narrowed. In this paper the nano-gap trench structure is fabricated using the photo-assisted electrochemical etching method. The sensor was designed and analysed using ANSYS simulator. The characteristics of the etching were observed according to the dc bias, the light intensity, the composition of the solution, the temperature of the solution, and the pattern pitch variation. The optimum etching conditions were dc bias of 2V, Blue LED of 20mA, 49wt% HF:DMF:D.I.Water=1:20:10, the pattern pitch of $20{\mu}m$. Uniform trench structure with width of 344nm and depth of $11.627{\mu}m$ are formed using the optimum condition.

High-Current Trench Gate DMOSFET Incorporating Current Sensing FET for Motor Driver Applications

  • Kim, Sang-Gi;Won, Jong-Il;Koo, Jin-Gun;Yang, Yil-Suk;Park, Jong-Moon;Park, Hoon-Soo;Chai, Sang-Hoon
    • Transactions on Electrical and Electronic Materials
    • /
    • v.17 no.5
    • /
    • pp.302-305
    • /
    • 2016
  • In this paper, a low on-resistance and high current driving capability trench gate power metal-oxide-semiconductor field-effect transistor (MOSFET) incorporating a current sensing feature is proposed and evaluated. In order to realize higher cell density, higher current driving capability, cost-effective production, and higher reliability, self-aligned trench etching and hydrogen annealing techniques are developed. While maintaining low threshold voltage and simultaneously improving gate oxide integrity, the double-layer gate oxide technology was adapted. The trench gate power MOSFET was designed with a 0.6 μm trench width and 3.0 μm cell pitch. The evaluated on-resistance and breakdown voltage of the device were less than 24 mΩ and 105 V, respectively. The measured sensing ratio was approximately 70:1. Sensing ratio variations depending on the gate applied voltage of 4 V ~ 10 V were less than 5.6%.

RIE induced damage recovery on trench surface (트렌치 표면에서의 RIE 식각 손상 회복)

  • 이주욱;김상기;배윤규;구진근
    • Journal of the Korean Vacuum Society
    • /
    • v.13 no.3
    • /
    • pp.120-126
    • /
    • 2004
  • A damage-reduced trench was investigated in view of the defect distribution along trench sidewall and bottom using high resolution transmission electron microscopy, which was formed by HBr plasma and additive gases in magnetically enhanced reactive ion etching system. Adding $O_2$ and other additive gases into HBr plasma makes it possible to eliminate sidewall undercut and lower surface roughness by forming the passivation layer of lateral etching. To reduce the RIE induced damage and obtain the fine shape trench corner rounding, we investigated the hydrogen annealing effect after trench formation. Silicon atomic migration on trench surfaces using high temperature hydrogen annealing was observed with atomic scale view. Migrated atoms on crystal surfaces formed specific crystal planes such as (111), (113) low index planes, instead of fully rounded comers to reduce the overall surface energy. We could observe the buildup of migrated atoms against the oxide mask, which originated from the surface migration of silicon atoms. Using this hydrogen annealing, more uniform thermal oxide could be grown on trench surfaces, suitable for the improvement of oxide breakdown.