• Title/Summary/Keyword: TaN Diffusion Barrier

Search Result 33, Processing Time 0.065 seconds

Magnetic Properties of Spin Valve Ta Underlayer Depending on N2 Concentration and Annealing Temperature (스핀 밸브 Ta 하지층의 질소함유량 변화와 열처리 온도에 따른 자기적 특성)

  • Choi, Yeon-Bong;Kim, Ji-Won;Jo, Soon-Chul;Lee, Chang-Woo
    • Journal of the Korean Magnetics Society
    • /
    • v.15 no.4
    • /
    • pp.226-230
    • /
    • 2005
  • In this research, magnetic properties and annealing effects of the spin valve structures were investigated, which have Ta underlayer deposited with Ar and $N_2$ gas mixture. Also, TaN underlayer as a diffusion barrier and the substrate were investigated. The structure of the spin valve was Si($SiO_2$)/Ta(TaN)/NiFe/CoFe/Cu/CoFe/FeMn/Ta. Deposition rate was decreased and resistivity and roughness of the TaN films were increased as the $N_2$ gas flow was increased. The XRD results after high temperature annealing showed that Silicides were created in Si/Ta layer, but not in Si/TaN layer. Magnetoresistance ratio (MR) and exchange coupling field ($H_{ex}$) were decreased when the $N_2$ gas flow was increased over 4.0 sccm. The MR of the spin valves with Ta and TaN films deposited with up to 4.0 sccm of $N_2$ gas flow was increased about $0.5\%$ until the annealing temperature of up to $200^{\circ}C$ and then, decreased. TaN film deposited with 8.0 sccm of $N_2$ gas flow showed twice the adhesion of the Ta film. The above results indicate that with 3.0 sccm of $N_2$ gas flow during the Ta underlayer deposition, the magnetic properties of the spin valves are maintained, while the underlayer may be used as a diffusion barrier and the adhesion between the Si substrate and the underlayer is increased.

The Effects of the Annealing on the Reflow Property of Cu Thin Film (열처리에 따른 구리박막의 리플로우 특성)

  • Kim Dong-Won;Kim Sang-Ho
    • Journal of the Korean institute of surface engineering
    • /
    • v.38 no.1
    • /
    • pp.28-36
    • /
    • 2005
  • In this study, the reflow characteristics of copper thin films which is expected to be used as interconnection materials in the next generation semiconductor devices were investigated. Cu thin films were deposited on the TaN diffusion barrier by metal organic chemical vapor deposition (MOCVD) and annealed at the temperature between 250℃ and 550℃ in various ambient gases. When the Cu thin films were annealed in the hydrogen ambience compared with oxygen ambience, sheet resistance of Cu thin films decreased and the breakdown of TaN diffusion barrier was not occurred and a stable Cu/TaN/Si structure was formed at the annealing temperature of 450℃. In addition, reflow properties of Cu thin films could be enhanced in H₂ ambient. With Cu reflow process, we could fill the trench patterns of 0.16~0.24 11m with aspect ratio of 4.17~6.25 at the annealing temperature of 450℃ in hydrogen ambience. It is expected that Cu reflow process will be applied to fill the deep pattern with ultra fine structure in metallization.

Interdiffusion in Cu/Capping Layer/NiSi Contacts (Cu/Capping Layer/NiSi 접촉의 상호확산)

  • You, Jung-Joo;Bae, Kyoo-Sik
    • Korean Journal of Materials Research
    • /
    • v.17 no.9
    • /
    • pp.463-468
    • /
    • 2007
  • The interdiffusion characteristics of Cu-plug/Capping Layer/NiSi contacts were investigated. Capping layers were deposited on Ni/Si to form thermally-stable NiSi and then were utilized as diffusion barriers between Cu/NiSi contacts. Four different capping layers such as Ti, Ta, TiN, and TaN with varying thickness from 20 to 100 nm were employed. When Cu/NiSi contacts without barrier layers were furnace-annealed at $400^{\circ}C$ for 40 min., Cu diffused to the NiSi layer and formed $Cu_3Si$, and thus the NiSi layer was dissociated. But for Cu/Capping Layers/NiSi, the Cu diffusion was completely suppressed for all cases. But Ni was found to diffuse into the Cu layer to form the Cu-Ni(30at.%) solid solution, regardless of material and thickness of capping layers. The source of Ni was attributed to the unreacted Ni after the silicidation heat-treatment, and the excess Ni generated by the transformation of $Ni_2Si$ to NiSi during long furnace-annealing.

Simultaneous Formation of NiSi Contact and Cu Plug/Ti Barrier (NiSi 접촉과 Cu 플러그/Ti 확산방지층의 동시 형성 연구)

  • Bae, Kyoo-Sik
    • Korean Journal of Materials Research
    • /
    • v.20 no.6
    • /
    • pp.338-343
    • /
    • 2010
  • As an alternative to the W plug used in MOSFETs, a Cu plug with a NiSi contact using Ta / TaN as a diffusion barrier is currently being considered. Conventionally, Ni was first deposited and then NiSi was formed, followed by the barrier and Cu deposition. In this study, Ti was employed as a barrier material and simultaneous formation of the NiSi contact and Cu plug / Ti barrier was attempted. Cu(100 nm) / Ti / Ni(20 nm) with varying Ti thicknesses were deposited on a Si substrate and annealed at $4000^{\circ}C$ for 30 min. For comparison, Cu/Ti/NiSi thin films were also formed by the conventional method. Optical Microscopy (OM), Scanning Probe Microscopy (SPM), X-Ray Diffractometry (XRD), and Auger Electron Microscopy (AES) analysis were performed to characterize the inter-diffusion properties. For a Ti interlayer thicker than 50 nm, the NiSi formation was incomplete, although Cu diffusion was inhibited by the Ti barrier. For a Ti thickness of 20 nm and less, an almost stoichiometric NiSi contact along with the Cu plug and Ti barrier layers was formed. The results were comparable to that formed by the conventional method and showed that this alternative process has potential as a formation process for the Cu plug/Ti barrier/NiSi contact system.

PAALD 방법을 이용한 TaN 박막의 구리확산방지막 특성

  • 부성은;정우철;배남진;권용범;박세종;이정희
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2002.11a
    • /
    • pp.14-19
    • /
    • 2002
  • In this study, as Cu diffusion barrier, tantalum nitrides were successfully deposited on Si(100) substrate and SiO2 by plasma assisted atomic layer deposition(PAALD) and thermal ALD, using pentakis (ethylmethlyamino) tantalum (PEMAT) and $NH_3$ as precursors. The TaN films were deposited on $250^{\circ}$C by both method. The growth rates of TaN films were $0.8{\AA}$/cycle for PAALD and $0.75{\AA}$/cycle for thermal ALD. TaN films by PAALD showed good surface morphology and excellent step coverage for the trench with an aspect ratio of h/w - $1.8 : 0.12 \mu\textrm{m}$ but TaN films by thermal ALD showed bad step coverage for the same trench. The density for PAALD TaN was $11g/\textrm{cm}^3$ and one for thermal ALD TaN was $8.3g/\textrm{cm}^3$. TaN films had 3 atomic % carbon impurity and 4 atomic % oxygen impurity for PAALD and 12 atomic % carbon impurity and 9 atomic % oxygen impurity for thermal ALD. The barrier failure for Cu(200nm)/TaN(l0nm)/$SiO_2(85nm)$/Si structure was shown at temperature above $700^{\circ}$C by XRD, Cu etch pit analysis.

  • PDF

Microstructural investigation of the electroplating Cu thin films for ULSI application (ULSI용 Electroplating Cu 박막의 미세조직 연구)

  • 박윤창;송세안;윤중림;김영욱
    • Journal of the Korean Vacuum Society
    • /
    • v.9 no.3
    • /
    • pp.267-272
    • /
    • 2000
  • Electroplating Cu was deposited on Si(100) wafer after seed Cu was deposited by sputtering first. TaN was deposited as a diffusion barrier before depositing the seed Cu. Electroplating Cu thin films show highly (111)-oriented microstructure for both before and after annealing at $450^{\circ}C$ for 30min and no copper silicide was detected in the same samples, which indicates that TaN barrier layer blocks well the Cu diffusion into silicon substrate. After annealing the electroplating Cu film up to $450^{\circ}C$, the Cu film became columnar from non-columnar, its grain size became larger about two times, and also defects density of stacking faults, twins and dislocations decreased greatly. Thus the heat treatment will improve significantly electromigration property caused by the grain boundary in the Cu thin films.

  • PDF

Oxidation resistnace of TaSiN diffusion barrier layers for Semiconductor memory device application (반도체 메모리 소자 응용을 위한 TaSiN 확산 방지층의 산화 저항성)

  • Shin, Woong-Chul;Lee, Eung-Min;Choi, Young-Sim;Choi, Kyu-Jeong;Choi, Eun-Suck;Jeon, Young-Ah;Park, Jong-Bong;Yoon, Soon-Gil
    • Korean Journal of Materials Research
    • /
    • v.10 no.11
    • /
    • pp.749-764
    • /
    • 2000
  • Amorphous TaSiN thin films of about 90 nm thick were deposited onto poly-Si and $SiO_2/Si$ substrates by rf magnetron sputtering method. TaSiN films exhibited amorphous phase with no crystllization up to $900^{\circ}C$ in oxygen ambient. The penetration depth of oxygen diffusion increased with increasing annealing temperature in oxygen ambient and reached 20 nm deep in a $Ta_{23}Si_{29}N_{48}$ layer at $600^{\circ}C$ for 30min. The resistivity of as-deposited $Ta_{23}Si_{29}N_{48}$ thin films was about $1,300{\mu}{\Omega}-cm$, however those of annealed films markedly increased above $700^{\circ}C$ in oxygen ambient as the annealing temperature increased.

  • PDF