• 제목/요약/키워드: Ta-Si-N film

검색결과 84건 처리시간 0.032초

Characteristics of tantalum nitride thin film resistors deposited on $SiO_2/Si$ substrate using D.C-magnetron sputtering

  • Cuong, Nguyen Duy;Phuong, Nguyen Mai;Kim, Dong-Jin;Kang, Byoung-Don;Kim, Chang-Soo;Yoon, Soon-Gil
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.64-65
    • /
    • 2005
  • The structural and electrical properties of the films are investigated as a function of nitrogen/argon ratio at room temperature and at various deposition temperatures. The phase changes as $Ta_2N$ or TaN in the films were observed as nitrogen/argon ratio increases from 3% to 25%. The phase changes were associated with a change in the resistivity and TCR (temperature coefficient of resistance) of the films. TCR values of the films deposited at room temperature and different nitrogen contents were negative, and strongly decreased with the increase in nitrogen/argon ratio. The Ta2N films deposited at nitrogen/argon ratio of 3% show improved TCR values and thermal stability with increasing deposition temperature. The $Ta_2N$ films grown at nitrogen/argon ratio of 3% and the temperature of $200^{\circ}C$ showed a TCR value of -47 $ppm/^{\circ}C$, which is close to near-zero TCR in the range of deposition temperature.

  • PDF

In-situ Synchrotron Radiation Photoemission Spectroscopy Study of Property Variation of Ta2O5 Film during the Atomic Layer Deposition

  • Lee, Seung Youb;Jeon, Cheolho;Kim, Seok Hwan;Lee, Jouhahn;Yun, Hyung Joong;Park, Soo Jeong;An, Ki-Seok;Park, Chong-Yun
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.362-362
    • /
    • 2014
  • Atomic layer deposition (ALD) can be regarded as a special variation of the chemical vapor deposition method for reducing film thickness. ALD is based on sequential self-limiting reactions from the gas phase to produce thin films and over-layers in the nanometer scale with perfect conformality and process controllability. These characteristics make ALD an important film deposition technique for nanoelectronics. Tantalum pentoxide ($Ta_2O_5$) has a number of applications in optics and electronics due to its superior properties, such as thermal and chemical stability, high refractive index (>2.0), low absorption in near-UV to IR regions, and high-k. In particular, the dielectric constant of amorphous $Ta_2O_5$ is typically close to 25. Accordingly, $Ta_2O_5$ has been extensively studied in various electronics such as metal oxide semiconductor field-effect transistors (FET), organic FET, dynamic random access memories (RAM), resistance RAM, etc. In this experiment, the variations of chemical and interfacial state during the growth of $Ta_2O_5$ films on the Si substrate by ALD was investigated using in-situ synchrotron radiation photoemission spectroscopy. A newly synthesized liquid precursor $Ta(N^tBu)(dmamp)_2$ Me was used as the metal precursor, with Ar as a purging gas and $H_2O$ as the oxidant source. The core-level spectra of Si 2p, Ta 4f, and O 1s revealed that Ta suboxide and Si dioxide were formed at the initial stages of $Ta_2O_5$ growth. However, the Ta suboxide states almost disappeared as the ALD cycles progressed. Consequently, the $Ta^{5+}$ state, which corresponds with the stoichiometric $Ta_2O_5$, only appeared after 4.0 cycles. Additionally, tantalum silicide was not detected at the interfacial states between $Ta_2O_5$ and Si. The measured valence band offset value between $Ta_2O_5$ and the Si substrate was 3.08 eV after 2.5 cycles.

  • PDF

Electronic and Optical Properties of amorphous and crystalline Tantalum Oxide Thin Films on Si (100)

  • Kim, K.R.;Tahir, D.;Seul, Son-Lee;Choi, E.H.;Oh, S.K.;Kang, H.J.;Yang, D.S.;Heo, S.;Park, J.C.;Chung, J.G.;Lee, J.C.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.382-382
    • /
    • 2010
  • $TaO_2$ thin films as gate dielectrics have been proposed to overcome the problems of tunneling current and degradation mobility in achieving a thin equivalent oxide thickness. An extremely thin $SiO_2$ layer is used in order to separate the carrier in MOSFETchannel from the dielectric field fluctuation caused by phonons in the dielectric which decreases the carrier mobility. The electronic and optical properties influenced the device performance to a great extent. The atomic structure of amorphous and crystalline Tantalum oxide ($TaO_2$) gate dielectrics thin film on Si (100) were grown by utilizing atomic layer deposition method was examined using Ta-K edge x-ray absorption spectroscopy. By using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy (REELS) the electronic and optical properties was obtained. In this study, the band gap (3.400.1 eV) and the optical properties of $TaO_2$ thin films were obtained from the experimental inelastic scattering cross section of reflection electron energy loss spectroscopy (REELS) spectra. EXAFS spectra show that the ordered bonding of Ta-Ta for c-$TaO_2$ which is not for c-$TaO_2$ thin film. The optical properties' e.g., index refractive (n), extinction coefficient (k) and dielectric function ($\varepsilon$) were obtained from REELS spectra by using QUEELS-$\varepsilon$(k, $\omega$)-REELS software shows good agreement with other results. The energy-dependent behaviors of reflection, absorption or transparency in $TaO_2$ thin films also have been determined from the optical properties.

  • PDF

열처리에 따른 구리박막의 리플로우 특성 (The Effects of the Annealing on the Reflow Property of Cu Thin Film)

  • 김동원;김상호
    • 한국표면공학회지
    • /
    • 제38권1호
    • /
    • pp.28-36
    • /
    • 2005
  • In this study, the reflow characteristics of copper thin films which is expected to be used as interconnection materials in the next generation semiconductor devices were investigated. Cu thin films were deposited on the TaN diffusion barrier by metal organic chemical vapor deposition (MOCVD) and annealed at the temperature between 250℃ and 550℃ in various ambient gases. When the Cu thin films were annealed in the hydrogen ambience compared with oxygen ambience, sheet resistance of Cu thin films decreased and the breakdown of TaN diffusion barrier was not occurred and a stable Cu/TaN/Si structure was formed at the annealing temperature of 450℃. In addition, reflow properties of Cu thin films could be enhanced in H₂ ambient. With Cu reflow process, we could fill the trench patterns of 0.16~0.24 11m with aspect ratio of 4.17~6.25 at the annealing temperature of 450℃ in hydrogen ambience. It is expected that Cu reflow process will be applied to fill the deep pattern with ultra fine structure in metallization.

ULSI용 Electroplating Cu 박막의 미세조직 연구 (Microstructural investigation of the electroplating Cu thin films for ULSI application)

  • 박윤창;송세안;윤중림;김영욱
    • 한국진공학회지
    • /
    • 제9권3호
    • /
    • pp.267-272
    • /
    • 2000
  • electroplating(EP)법을 이용하여 ULSI용 Cu 박막을 제조하였다. seed Cu는 sputtering으로 증착하였으며, 확산방지막으로 TaN를 사용하였다. 제작된 EP Cu 박막은 seed Cu의 영향으로 열처리 조건에 관계없이 Cu(111)방향으로 강하게 우선 배향 하였다. 열처리 온도와 시간이 증가함에 따라 Cu박막의 미세조직이 non-columnar structure에서 약 2배 이상 결정립 성장하여 columnar structure로 바뀌었으며, 또한 as-deposit시 관찰되었던 stacking fault, twin, dislocation들이 상당히 줄어드는 것이 관찰되었다. Cu의 확산에 의하여 생기는 copper-silicide는 관찰할 수 없었으며, 이것은 두께 45nm의 TaN막이 $450^{\circ}C$, 30분 열처리시 확산방지막으로 충분한 역할을 한 것으로 판단된다. Cu(111)우선 배향과 열처리에 의한 결정립 성장 및 defect감소는 Cu 박막의 결정립계에서 발생하는 electromigration 현상을 상당히 줄일 수 있을 것으로 판단된다.

  • PDF

In-situ Synchrotron Radiation Photoemission Spectroscopy Study of Atomic Layer Deposition of $Ta_2O_5$ film on Si Substrate with Ta(NtBu)(dmamp)$_2Me$ and $H_2O$

  • Lee, Seung Youb;Jung, Woosung;Kim, Yooseok;Kim, Seok Hwan;An, Ki-Seok;Park, Chong-Yun
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.619-619
    • /
    • 2013
  • The interfacial state between $Ta_2O_5$ and a Si substrate during the growth of $Ta_2O_5$ films by atomic layer deposition (ALD) was investigated using in-situ synchrotron radiation photoemission spectroscopy (SRPES). A newly synthesized liquid precursor Ta($N^tBu$) $(dmamp)_2Me$ was used as the metal precursor, with Ar as a purging gas and $H_2O$ as the oxidant source. After each half reaction cycle, samples were analyzed using in-situ SRPES under ultrahigh vacuum at room temperature. SRPES analysis revealed that Ta suboxide and Si dioxide were formed at the initial stages of $Ta_2O_5$ growth. However, the Ta suboxide states almostdisappeared as the ALD cycles progressed. Consequently, the $Ta^{5+}$ state, which corresponds with the stoichiometric $Ta_2O_5$, only appeared after 4.0 cycles. Additionally, tantalum silicate was not detected at the interfacial states between $Ta_2O_5$ and Si. The measured valence band offset between $Ta_2O_5$ and the Si substrate was 3.22 eV after 3.0 cycles.

  • PDF

WSi2 word-line 및 bit-line용 spacer-Si3N4 박막의 증착 (Deposition of Spacer-Si3N4 Thin Film for WSi2 Word-Line and Bit-Line)

  • 안승준;김대욱;김종해;안성준;김영정;김호섭
    • 한국재료학회지
    • /
    • 제14권6호
    • /
    • pp.402-406
    • /
    • 2004
  • $WSi_2$, $TiSi_2$, $CoSi_2$, and $TaSi_2$ are general silicides used today in semiconductor devices. $WSi_2$ thin films have been proposed, studied and used recently in CMOS technology extensively to reduce sheet resistance of polysilicon and $n^{+}$ region. However, there are several serious problems encountered because $WSi_2$ is oxidized and forms a native oxide layer at the interface between $WSi_2$ and $Si_3$$N_4$. In this study, we have introduced 20 $slm-N_2$ gas from top to bottom of the furnace in order to control native oxide films between $WSi_2$ and $Si_3$$N_4$ film. In resulting SEM photographs, we have observed that the native oxide films at the surface of $WSi_2$ film are removed using the long injector system.

A bilayer diffusion barrier of atomic layer deposited (ALD)-Ru/ALD-TaCN for direct plating of Cu

  • Kim, Soo-Hyun;Yim, Sung-Soo;Lee, Do-Joong;Kim, Ki-Su;Kim, Hyun-Mi;Kim, Ki-Bum;Sohn, Hyun-Chul
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.239-240
    • /
    • 2008
  • As semiconductor devices are scaled down for better performance and more functionality, the Cu-based interconnects suffer from the increase of the resistivity of the Cu wires. The resistivity increase, which is attributed to the electron scattering from grain boundaries and interfaces, needs to be addressed in order to further scale down semiconductor devices [1]. The increase in the resistivity of the interconnect can be alleviated by increasing the grain size of electroplating (EP)-Cu or by modifying the Cu surface [1]. Another possible solution is to maximize the portion of the EP-Cu volume in the vias or damascene structures with the conformal diffusion barrier and seed layer by optimizing their deposition processes during Cu interconnect fabrication, which are currently ionized physical vapor deposition (IPVD)-based Ta/TaN bilayer and IPVD-Cu, respectively. The use of in-situ etching, during IPVD of the barrier or the seed layer, has been effective in enlarging the trench volume where the Cu is filled, resulting in improved reliability and performance of the Cu-based interconnect. However, the application of IPVD technology is expected to be limited eventually because of poor sidewall step coverage and the narrow top part of the damascene structures. Recently, Ru has been suggested as a diffusion barrier that is compatible with the direct plating of Cu [2-3]. A single-layer diffusion barrier for the direct plating of Cu is desirable to optimize the resistance of the Cu interconnects because it eliminates the Cu-seed layer. However, previous studies have shown that the Ru by itself is not a suitable diffusion barrier for Cu metallization [4-6]. Thus, the diffusion barrier performance of the Ru film should be improved in order for it to be successfully incorporated as a seed layer/barrier layer for the direct plating of Cu. The improvement of its barrier performance, by modifying the Ru microstructure from columnar to amorphous (by incorporating the N into Ru during PVD), has been previously reported [7]. Another approach for improving the barrier performance of the Ru film is to use Ru as a just seed layer and combine it with superior materials to function as a diffusion barrier against the Cu. A RulTaN bilayer prepared by PVD has recently been suggested as a seed layer/diffusion barrier for Cu. This bilayer was stable between the Cu and Si after annealing at $700^{\circ}C$ for I min [8]. Although these reports dealt with the possible applications of Ru for Cu metallization, cases where the Ru film was prepared by atomic layer deposition (ALD) have not been identified. These are important because of ALD's excellent conformality. In this study, a bilayer diffusion barrier of Ru/TaCN prepared by ALD was investigated. As the addition of the third element into the transition metal nitride disrupts the crystal lattice and leads to the formation of a stable ternary amorphous material, as indicated by Nicolet [9], ALD-TaCN is expected to improve the diffusion barrier performance of the ALD-Ru against Cu. Ru was deposited by a sequential supply of bis(ethylcyclopentadienyl)ruthenium [Ru$(EtCp)_2$] and $NH_3$plasma and TaCN by a sequential supply of $(NEt_2)_3Ta=Nbu^t$ (tert-butylimido-trisdiethylamido-tantalum, TBTDET) and $H_2$ plasma. Sheet resistance measurements, X-ray diffractometry (XRD), and Auger electron spectroscopy (AES) analysis showed that the bilayer diffusion barriers of ALD-Ru (12 nm)/ALD-TaCN (2 nm) and ALD-Ru (4nm)/ALD-TaCN (2 nm) prevented the Cu diffusion up to annealing temperatures of 600 and $550^{\circ}C$ for 30 min, respectively. This is found to be due to the excellent diffusion barrier performance of the ALD-TaCN film against the Cu, due to it having an amorphous structure. A 5-nm-thick ALD-TaCN film was even stable up to annealing at $650^{\circ}C$ between Cu and Si. Transmission electron microscopy (TEM) investigation combined with energy dispersive spectroscopy (EDS) analysis revealed that the ALD-Ru/ALD-TaCN diffusion barrier failed by the Cu diffusion through the bilayer into the Si substrate. This is due to the ALD-TaCN interlayer preventing the interfacial reaction between the Ru and Si.

  • PDF

열CVD방법으로 증착시킨 탄탈륨 산화박막의 특성평가와 열처리 효과 (Characterization and annealing effect of tantalum oxide thin film by thermal chemical)

  • 남갑진;박상규;이영백;홍재화
    • 한국재료학회지
    • /
    • 제5권1호
    • /
    • pp.42-54
    • /
    • 1995
  • $Ta_2O_5$박막은 고유전율의 특성으로 차세대 DRAM캐패시터 물질로 유망받고 있는 물질이다. 본 연구에서는 p-type(100)Si 웨이퍼 위에 열 MOCVD 방법으로 $Ta_2O_5$박막을 성장시켰으며 기판온도, 버블러 온도, 반응압력의 조업조건이 미치는 영향을 고찰하엿다. 증착된 박막은 SEM, XRD, XPS, FT-IR, AES, TEM, AFM을 이용하여 분석하였으며 질소나 산소 분위기의 furnace 열처리 (FA)와 RTA(Rapid Thermal Annealing)를 통하여 열처리 효과를 살펴보았다. 반응온도에 따른 증착속도는 300 ~ $400 ^{\circ}C$ 범위에서 18.46kcal/mol의 활성화 에너지를 가지는 표면반응 율속단계와 400 ~ $450^{\circ}C$ 범위에서 1.9kcal/mol의 활성화 에너지를 가지는 물질전단 율속단계로 구분되었다. 버블러 온도는 $140^{\circ}C$일때 최대의 증착속도를 보였다. 반응압력에 따른 증착속도는 3torr에서 최대의 증착속도를 보였으나 굴절율은 0.1-1torr사이에 $Ta_2O_5$의 bulk값과 비슷한 2.1정도의 양호한 값이 얻어졌다. $400^{\circ}C$에서 층덮힘은 85.71%로 매우 양호하게 나타났으며 몬테카를로법에 의한 전산모사 결과와의 비교에 의해서 부착계수는 0.06으로 나타났다. FT-IR, AES, TEM 분석결과에 의하여 Si와 $Ta_2O_5$ 박막 계면의 산화막 두께는 FA-$O_{2}$ > RTA-$O_{2}$ ~ FA-$N_{2}$ > RTA-$N_{2}$ 순으로 성장하였다. 하지만 질소분위기에서 열처리한 박막은 산소분위기의 열처리경우에 비해 박막내의 산소성분의 부족으로 인한 그레인 사이의 결함이 많이 관찰되었다.

  • PDF

MoN 하지층을 이용한 스핀밸브의 자기저항 특성 (Magnetoresistance Properties of Spin Valves Using MoN Underlayer)

  • 김지원;조순철;김상윤;고훈;이창우
    • 한국자기학회지
    • /
    • 제16권5호
    • /
    • pp.240-244
    • /
    • 2006
  • 본 연구에서는 하지층으로 사용한 Mo(MoN)의 두께 변화에 따른 스핀밸브 구조의 자기적 특성과 열처리 결과를 비교 검토하였다. 사용된 스핀밸브는 Si기판/$SiO_2/Mo(MoN)(t{\AA})/NiFe(21\;{\AA})/CoFe(28\;{\AA})/Cu(22\;{\AA})/CoFe(18\;{\AA})/IrMn(65\;{\AA})/Ta(25\;{\AA})$ 구조이다. 또한 본 연구에서는 MoN 하지층을 Si기판에 증착하여 열처리후 특성을 분석하였다. MoN 박막의 질소량이 증가(5 sccm까지)할수록 비저항은 증가하였다. $600^{\circ}C$에서 열처리 후 측정한 XRD 결과를 보면 Si/Mo(MoN) 박막에서 규소화합물을 발견할 수 없었다. MoN을 하지층으로 사용할 경우 $300^{\circ}C$에서 열처리 후 측정한 XPS 결과를 보면 질소 유입량이 5 sccm인 경우가 질소 유입량이 1 sccm인 경우보다 안정적임을 알았다. Mo(MoN) 하지층을 사용한 경우 하지층 두께 변화($45{\AA}$)에 따라 자기저항비와 교환결합력의 변화는 소폭이었다. Mo 하지층의 열처리 온도별 자기저항비는 열처리 전 상온에서 7.0%이었고, $220^{\circ}C$ 열처리 때 7.5%로 증가하였다. 이후 열처리 온도를 $300^{\circ}C$까지 증가 시키면 자기저항비는 7.5%에서 3.5%로 감소하였고, 질소유입량이 변화(5 sccm까지)하여도 유사한 경향을 보였다.