• Title/Summary/Keyword: Semiconductor metal oxide

Search Result 714, Processing Time 0.032 seconds

Oxide Semiconductor Thin Film Transistor based Solution Charged Cellulose Paper Gate Dielectric using Microwave Irradiation

  • 이기용;조광원;조원주
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2015년도 제49회 하계 정기학술대회 초록집
    • /
    • pp.207.2-207.2
    • /
    • 2015
  • 차세대 디스플레이 소자로서 TAOS TFT (transparent amorphous oxide semiconductor Thin Film Transistor)가 주목 받고 있다. 또한, 최근에는 값 비싼 전자 제품을 저렴하고 간단히 처분 할 수 있는 시스템으로 대신 하는 연구가 진행되고 있다. 그중, cellulose-fiber에 전기적 시스템을 포함시키는 e-paper에 대한 관심이 활발하다. cellulose fiber는 가볍고 깨지지 않으며 휘는 성질을 가지고 있다. 가격도 저렴하고 가공이여 용이하여 차세대 기판의 재료로서 주목받고 있다. 하지만, cellulose-fiber 위에는 고온의 열처리공정과 고품질 박막 성장이 어려워서 TFT 제작에 어려움을 겪고 있다. 이러한 문제를 해결하기 위해서 산화물 반도체를 이용하여 TFT를 제작한 사례가 보고되고 있다. 또한, 채널 물질 뿐만 아니라 cellulose fiber에도 다른 물질을 첨가하거나 증착하여 전기적 화학적 특성을 개선시킨 사례도 많이 보고되고 있다. 본 연구에서는 가장 저품질의 용지로 알려진 신문지와 A4용지를 gate dielectric을 이용하여서 a-IGZO TFT를 제작하였다. 하지만, cellulose fiber로 만들어진 TFT의 경우에는 고온의 열처리가 불가능 하다. 따라서 저온에서 높을 효율은 보이는 microwave energy를 이용하여 열처리를 진행하였다. 추가적으로 저품질의 종이의 특성을 개선시키기 위해서 high-k metal-oxide solution precursor를 첨가 하여 TFT의 특성을 개선시켰다. 결과적으로 cellulose fiber에 metal-oxide solution precursor을 첨가하는 공정과 micro wave를 조사하는 방법을 사용하여 100도 이하에서 cellulose fiber를 저렴하고 우수한 성능의 TFT를 제작에 성공하였다.

  • PDF

$2{\mu}m$ CMOS P-WELL DOUBLE METAL TECHNOLOGY

  • 신철호;안경호;정은승;진주현
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1987년도 전기.전자공학 학술대회 논문집(I)
    • /
    • pp.424-428
    • /
    • 1987
  • A $2{\mu}m$ CMOS P-well double metal technology has been developed. Phosphorus deep implantation and drive-in diffusion steps were utilized to prevent the low voltage bulk punch through in the short channel, 1.6[${\mu}m$] Leff, PMOS device. Double metal process with the rules of 5[${\mu}m$] 1st metal pitch and 7[${\mu}m$] 2nd metal pitch was successfully implemented by using VLTO, low temperature oxide, as on intermetal dielectric.

  • PDF

플라즈마 에칭으로 손상된 4H-실리콘 카바이드 기판위에 제작된 MOS 커패시터의 전기적 특성 (Electrical Characterization of MOS (metal-oxide-semiconductor) Capacitors on Plasma Etch-damaged 4H-Silicon Carbide)

  • 조남규;구상모;우용득;이상권
    • 한국전기전자재료학회논문지
    • /
    • 제17권4호
    • /
    • pp.373-377
    • /
    • 2004
  • We have investigated the electrical characterization of metal-oxide-semiconductor (MOS) capacitors formed on the inductively coupled plasma (ICP) etch-damaged both n- and p-type 4H-SiC. We found that there was an effect of a sacrificial oxidation treatment on the etch-damaged surfaces. Current-voltage and capacitance-voltage measurements of these MOS capacitors were used and referenced to those of prepared control samples without etch damage. It has been found that a sacrificial oxidation treatment can improve the electrical characteristics of MOS capacitors on etch-damaged 4H-SiC since the effective interface density and fixed oxide charges of etch-damaged samples have been found to increase while the breakdown field strength of the oxide decreased and the barrier height at the SiC-SiO$_2$ interface decreased for MOS capacitors on etch-damaged surfaces.

Effect of Dopants on Cobalt Silicidation Behavior at Metal-oxide-semiconductor Field-effect Transistor Sidewall Spacer Edge

  • Kim, Jong-Chae;Kim, Yeong-Cheol;Kim, Byung-Kook
    • 한국세라믹학회지
    • /
    • 제38권10호
    • /
    • pp.871-875
    • /
    • 2001
  • Cobalt silicidation at sidewall spacer edge of Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs) with post annealing treatment for capacitor forming process has been investigated as a function of dopant species. Cobalt silicidation of nMOSFET with n-type Lightly Doped Drain (LDD) and pMOSFET with p-type LDD produces a well-developed cobalt silicide with its lateral growth underneath the sidewall spacer. In case of pMOSFET with n-type LDD, however, a void is formed at the sidewall spacer edge with no lateral growth of cobalt silicide. The void formation seems to be due to a retarded silicidation process at the LDD region during the first Rapid Thermal Annealing (RTA) for the reaction of Co with Si, resulting in cobalt mono silicide at the LDD region. The subsequent second RTA converts the cobalt monosilicide into cobalt disilicide with the consumption of Si atoms from the Si substrate, producing the void at the sidewall spacer edge in the Si region. The void formed at the sidewall spacer edge serves as a resistance in the current-voltage characteristics of the pMOSFET device.

  • PDF

Human body model electrostatic discharge tester using metal oxide semiconductor-controlled thyristors

  • Dong Yun Jung;Kun Sik Park;Sang In Kim;Sungkyu Kwon;Doo Hyung Cho;Hyun Gyu Jang;Jongil Won;Jong-Won Lim
    • ETRI Journal
    • /
    • 제45권3호
    • /
    • pp.543-550
    • /
    • 2023
  • Electrostatic discharge (ESD) testing for human body model tests is an essential part of the reliability evaluation of electronic/electrical devices and components. However, global environmental concerns have called for the need to replace the mercury-wetted relay switches, which have been used in ESD testers. Therefore, herein, we propose an ESD tester using metal oxide semiconductor-controlled thyristor (MCT) devices with a significantly higher rising rate of anode current (di/dt) characteristics. These MCTs, which have a breakdown voltage beyond 3000 V, were developed through an in-house foundry. As a replacement for the existing mercury relays, the proposed ESD tester with the developed MCT satisfies all the requirements stipulated in the JS-001 standard for conditions at or below 2000 V. Moreover, unlike traditional relays, the proposed ESD tester does not generate resonance; therefore, no additional circuitry is required for resonant removal. To the best of our knowledge, the proposed ESD tester is the first study to meet the JS-001 specification by applying a new switch instead of an existing mercury-wetted relay.

Analysis Method of Volatile Sulfur Compounds Utilizing Separation Column and Metal Oxide Semiconductor Gas Sensor

  • Han-Soo Kim;Inho Kim;Eun Duck Park;Sang-Do Han
    • 센서학회지
    • /
    • 제33권3호
    • /
    • pp.125-133
    • /
    • 2024
  • Gas chromatography (GC) separation technology and metal oxide semiconductor (MOS) gas sensors have been integrated for the effective analysis of volatile sulfur compounds (VSCs) such as H2S, CH3SH, (CH3)2S, and (CH3)2S2. The separation and detection characteristics of the GC/MOS system using diluted standard gases were investigated for the qualitative and quantitative analysis of VSCs. The typical concentrations of the standard gases were 0.1, 0.5, 1.0, 5.0, and 10.0 ppm. The GC/MOS system successfully separated H2S, CH3SH, (CH3)2S, and (CH3)2S2 using a celite-filled column. The reproducibility of the retention time measurements was at a 3% relative standard deviation level, and the correlation coefficient (R2) for the VSC concentration was greater than 0.99. In addition, the chromatograms of single and mixed gases were almost identical.

Solution-processed indium-zinc oxide with carrier-suppressing additives

  • Kim, Dong Lim;Jeong, Woong Hee;Kim, Gun Hee;Kim, Hyun Jae
    • Journal of Information Display
    • /
    • 제13권3호
    • /
    • pp.113-118
    • /
    • 2012
  • Metal oxide semiconductors were considered promising materials as backplanes of future displays. Moreover, the adoption of carrier-suppressing metal into indium-zinc oxide (IZO) has become one of the most important themes in the metal oxide research field. In this paper, efforts to realize and optimize IZO with diverse types of carrier suppressors are summarized. Properties such as the band gap of metal in the oxidized form and its electronegativity were examined to confirm their relationship with the metal's carrier-suppressing ability. It was concluded that those two properties could be used as indicators of the carrier-suppressing ability of a material. As predicted by the properties, the alkali earth metals and early transition metals used in the research effectively suppressed the carrier and optimized the electrical properties of the metal oxide semiconductors. With the carrier-suppressing metals, IZO-based thin-film transistors with high (above $1cm^2/V{\cdot}s$) mobility, a lower than 0.6V/dec sub-threshold gate swing, and an over $3{\times}10^6$ on-to-off current ratio could be achieved.

Design of Metal Oxide Hollow Structures Using Soft-templating Method for High-Performance Gas Sensors

  • Shim, Young-Seok;Jang, Ho Won
    • 센서학회지
    • /
    • 제25권3호
    • /
    • pp.178-183
    • /
    • 2016
  • Semiconductor gas sensors based on metal oxide are widely used in a number of applications, from health and safety to energy efficiency and emission control. Nanomaterials including nanowires, nanorods, and nanoparticles have dominated the research focus in this field owing to their large number of surface sites that facilitate surface reactions. Recently, metal oxide hollow structures using soft templates have been developed owing to their high sensing properties with large-area uniformity. Here, we provide a brief overview of metal oxide hollow structures and their gas-sensing properties from the aspects of template size, morphology, and additives. In addition, a gas-sensing mechanism and perspectives are presented.

작동중인 모스 전계 효과 트랜지스터 단면에서의 상대온도 및 전위 분포 측정 (Cross Sectional Thermal and Electric Potential Imaging of an Operating MOSFET)

  • 권오명
    • 대한기계학회논문집B
    • /
    • 제27권7호
    • /
    • pp.829-836
    • /
    • 2003
  • Understanding of heat generation in semiconductor devices is important in the thermal management of integrated circuits and in the analysis of the device physics. Scanning thermal microscope was used to measure the temperature and the electric potential distribution on the cross-section of an operating metal-oxide-semiconductor field-effect transistor (MOSFET). The temperature distributions were measured both in DC and AC modes in order to take account of the leakage current. The measurement results showed that as the drain bias was increased the hot spot moved to the drain. The density of the iso-potential lines near the drain increased with the increase in the drain bias.

마이크로 칩의 정전기 방지를 위한 DPS-GG-EDNMOS 소자의 특성 (Characteristics of Double Polarity Source-Grounded Gate-Extended Drain NMOS Device for Electro-Static Discharge Protection of High Voltage Operating Microchip)

  • 서용진;김길호;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 하계학술대회 논문집 Vol.7
    • /
    • pp.97-98
    • /
    • 2006
  • High current behaviors of the grounded gate extended drain N-type metal-oxide-semiconductor field effects transistor (GG_EDNMOS) electro-static discharge (ESD) protection devices are analyzed. Simulation based contour analyses reveal that combination of BJT operation and deep electron channeling induced by high electron injection gives rise to the 2-nd on-state. Thus, the deep electron channel formation needs to be prevented in order to realize stable and robust ESD protection performance. Based on our analyses, general methodology to avoid the double snapback and to realize stable ESD protection is to be discussed.

  • PDF