• Title/Summary/Keyword: Plasma Etching Process

Search Result 420, Processing Time 0.028 seconds

Effects on the Oxidation Rate with Silicon Orientation and Its Surface Morphology (실리콘배향에 따른 산화 속도 영향과 표면 Morphology)

  • Jeon, Bup-Ju;Oh, In-Hwan;Um, Tae-Hoon;Jung, Il-Hyun
    • Applied Chemistry for Engineering
    • /
    • v.8 no.3
    • /
    • pp.395-402
    • /
    • 1997
  • The $SiO_2$ films were prepared by ECR(electron cyclotron resonance) plasma diffusion method, Deal-Grove model and Wolters-Zegers-van Duynhoven model were used to estimate the oxidation rate which was correlated with surface morphology for different orientation of Si(100) and Si(111). It was seen the $SiO_2$ thickness increased linearly with initial oxidation time. But oxidation rate slightly decrease with oxidation time. It was also shown that the oxidation process was controlled by the diffusion of the reactive species through the oxide layer rather than by the reaction rate at the oxide interface. The similar time dependency has been observed for thermal and plasma oxidation of silicon. From D-G model and W-Z model, the oxidation rate of Si(111) was 1.13 times greater than Si(100) because Si(111) had higher diffusion and reaction rate, these models more closely fits the experimental data. The $SiO_2$ surface roughness was found to be uniform at experimental conditions without etching although oxidation rate was increased, and to be nonuniform due to etching at experimental condition with higher microwave power and closer substrate distance.

  • PDF

Width Control in the Photo patterning of PDP Barrier Ribs

  • Kim, Dong-Ju;Kim, Soon-Hak;Hur, Young-June;Kim, Duck-Gon;Lee, Sam-Jong;Jung, Sang-Kwon;Kim, Myeug-Chan;Park, Lee-Soon
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.910-912
    • /
    • 2006
  • Barrier ribs in plasma display panels (PDPs) function to maintain the discharge space between the glass plates as well as to prevent optical cross-talking. The barrier ribs currently employed are typically $300{\mu}m$ pitch, $110{\sim}120{\mu}m$ in height, with upper and lower widths of $50{\mu}m$ and $80{\mu}m$, respectively. It has been reported that barrier ribs can be fabricated by screen-printing, sand blasting, etching and photolithographic processes. In this study, photosensitive barrier rib pastes were formulated and systematically evaluated in terms of photolithographic process variables such as printing, drying, UV exposure, development and sintering. It was found that the use of UV absorbent, polymerization inhibitor and surfactant were very effective in controlling the width uniformity of barrier ribs in the photolithographic method of barrier rib patterning.

  • PDF

YBa$_2$Cu$_3$O$_{7-{\delta}}$/SrTiO$_3$/YBa$_2$Cu$_3$O$_{7-{\delta}}$ multilayer structures for ground planes for ramp-edge junction devices

  • Kim, C.H.;Kim, Y.H.;Jung, K.R.;Hahn, T.S.;Park, J.H.;Choi, S.S.
    • 한국초전도학회:학술대회논문집
    • /
    • v.10
    • /
    • pp.179-183
    • /
    • 2000
  • For a ground plane in high-temperature superconducting ramp-edge junction devices, YBa$_2$Cu$_3$O$_{7-{\delta}}$/SrTiO$_3$/YBa$_2$Cu$_3$O$_{7-{\delta}}$ multilayer structures were fabricated using pulsed laser deposition and ECR ion milling. Various process parameters were adjusted to enhance the device characteristics. By etching the STO layer to form a tapered edge of about 15$^{\circ}$ and in-situ RF plasma treatment of bottom YBCO surface prior to deposition of top YBCO, the top-to-bottom YBCO showed T$_c$ of 75${\sim}$80 K and I$_c$ of about 40 mA through holes. It was found that the deposition of bottom YBCO at a reduced laser repetition rate of 1Hz increased the T$_c$ of top YBCO to 79.9 K. The resistivity of 570 layer was about 10$^6$ ${\Omega}$cm at 60 K, which ensures good electrical isolation between successive YBCO layers.

  • PDF

Nanophotonics of Hexagonal Lattice GaN Crystals Fabricated using an Electron Beam Nanolithography Process

  • Lee, In-Goo;Kim, Keun-Joo;Jeon, Sang-Cheol;Kim, Jin-Soo;Lee, Hee-Mok
    • International Journal of Precision Engineering and Manufacturing
    • /
    • v.7 no.4
    • /
    • pp.14-17
    • /
    • 2006
  • A thin GaN semiconducting film that grows on sapphires due to metalorganic chemical vapor deposition was machined for nanophotonic applications. The thin film had multilayered superlattice structures, including nanoscaled InGaN layers. Eight alternating InGaN/GaN multilayers provided a blue light emission source. Nanoscaled holes, 150 nm in diameter, were patterned on polymethylmethacrylate (PMMA) film using an electron beam lithography system. The PMMA film blocked the etching species. Air holes, 75 nm in diameter, which acted as blue light diffraction sources, were etched on the top GaN layer by an inductively coupled plasma etcher. Hexagonal lattice photonic crystals were fabricated with 230-, 460-, 690-, and 920-nm pitches. The 450-nm wavelength blue light provided the nanodiffraction destructive and constructive interferences phenomena, which were dependent on the pitch of the holes.

Decomposition Characteristics of PFCs for Various Plasma Discharge Methods in Dielectric Barrier Discharge (DBD 반응기에서 플라즈마 방전형태에 따른 PFCs 가스의 분해 특성)

  • Kim, Kwan-Tae;Kim, Yong-Ho;Cha, Min-Suk;Song, Young-Hoon;Kim, Seock-Joon;Ryu, Jeong-In
    • Journal of Korean Society for Atmospheric Environment
    • /
    • v.20 no.5
    • /
    • pp.625-632
    • /
    • 2004
  • Perfluorocompounds ($PFC_s$), such as tetrafluoromethane ($CF_4$) and hexafluoroethane ($C_2F_6$), have been widely used as plasma etching and chemical vapor deposition (CVD) gases for semiconductor manufacturing processes. Since these $PFC_s$ are known to cause a greenhouse effect intensively, there has been a growing interest in reducing $PFC_s$ emissions. Among various $CF_4$ decomposing techniques, a dielectric barrier discharge (DBD) is considered as one of a promising candidate because it has been successfully used for generating ozone ($O_3$) and decomposing nitrogen oxide (NO). Firstly, optimal concentration of oxygen for $CF_4$ decomposition was found to figure out how many primary and secondary reactions are associated with DBD process. Secondary, to find effective discharge method for $CF_4$ decomposition, a streamer and a glow mode in DBD are experimentally compared, which includes (i) coaxialcylinder DBD, (ii) DBD reactor packed with glass beads. and (iii) a glow mode operation with a helium gas. The test results showed that optimal concentration of oxygen was ranged 500 ppm~1% for treating 500 ppm of $CF_4$ and helium glow discharge was the most efficient one to decompose $CF_4$.

Halogen-based Inductive Coupled Plasma에서의 W 식각시 첨가 가스의 효과에 관한 연구

  • 박상덕;이영준;염근영;김상갑;최희환;홍문표
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2003.05a
    • /
    • pp.41-41
    • /
    • 2003
  • 텅스텐(W)은 높은 thermal stability 와 process compatibility 및 우수한 corrosion r resistance 둥으로 integrated circuit (IC)의 gate 및 interconnection 둥으로의 활용이 대두되고 있으며, 차세대 thin film transistor liquid crystal display (TFT-LCD)의 gate 및 interconnection m materials 둥으로 사용되고 았다. 그러나, 이러한 장점을 가지고 있는 팅스텐 박막이 실제 공정상에 적용되가 위해서는 건식 식각이 주로 사용되는데, 이는 wet chemical 을 이용한 습식 식각을 사용할 경우 낮은 etch rate, line width 의 감소 및 postetch residue 잔류 동의 문제가 발생하기 때문이다. 또한 W interconnection etching 을 하기 위해서는 높은 텅스텐 박막의 etch rate 과 하부 layer ( (amorphous silicon 또는 poly-SD와의 높은 etch selectivity 가 필수적 이 라 할 수 있다. 그러 나, 지금까지 연구되어온 결과에 따르면 텅스탠과 하부 layer 와의 etch selectivity 는 2 이하로 매우 낮게 관찰되고 았으며, 텅스텐의 etch rate 또한 150nm/min 이하로 낮은 값을 나타내고 있다. 따라서 본 연구에서는 halogen-based inductively coupled plasma 를 이용하여 텅스텐 박막 식각시 여러 가지 첨가 가스에 따른 높은 텅스탠 박막의 etch rate 과 하부 layer 와의 높은 etch s selectivity 를 얻고자 하였으며, 그에 따른 식각 메커니즘에 대하여 알아보고자 하였다. $CF_4/Cl_2$ gas chemistry 에 첨 가 가스로 $N_2$와 Ar을 첨 가할 경 우 텅 스텐 박막과 하부 layer 간의 etch selectivity 증가는 관찰되지 않았으며, 반면에 첨가 가스로 $O_2$를 사용할 경우, $O_2$의 첨가량이 증가함에 따라 etch s selectivity 는 계속적으로 증가렴을 관찰할 수 있었다. 이는 $O_2$ 첨가에 따라 형성되는 WOF4 에 의한 텅스텐의 etch rates 의 감소에 비하여, $Si0_2$ 등의 형성에 의한 poly-Si etch rates 이 더욱 크게 감소하였기 때문으로 사료된다. W 과 poly-Si 의 식각 특성을 이해하기 위하여 X -ray photoelectron spectroscopy (XPS)를 사용하였으며, 식각 전후의 etch depth 를 측정하기 위하여 stylus p pmfilometeT 를 이용하였다.

  • PDF

Dry Etching of Polysilicon by the RF Power and HBr Gas Changing in ICP Poly Etcher (ICP Poly Etcher를 이용한 RF Power와 HBr Gas의 변화에 따른 Polysilicon의 건식식각)

  • Nam, S.H.;Hyun, J.S.;Boo, J.H.
    • Journal of the Korean Vacuum Society
    • /
    • v.15 no.6
    • /
    • pp.630-636
    • /
    • 2006
  • Scale down of semiconductor gate pattern will make progress centrally line width into transistor according to the high integration and high density of flash memory semiconductor. Recently, the many researchers are in the process of developing research for using the ONO(oxide-nitride-oxide) technology for the gate pattern give body to line breadth of less 100 nm. Therefore, etch rate and etch profile of the line width detail of less 100 nm affect important factor in a semiconductor process. In case of increasing of the platen power up to 50 W at the ICP etcher, etch rate and PR selectivity showed good result when the platen power of ICP etcher has 100 W. Also, in case of changing of HBr gas flux at the platen power of 100 W, etch rate was decreasing and PR selectivity is increasing. We founded terms that have etch rate 320 nm/min, PR selectivity 3.5:1 and etch slope have vertical in the case of giving the platen power 100 W and HBr gas 35 sccm at the ICP etcher. Also notch was not formed.

Bragg Reflecting Waveguide Device Fabricated on a Flexible Substrate using a Nano-imprinting Technology (나노임프린팅 기술을 이용한 유연성 브래그 반사 광도파로 소자)

  • Kim, Kyung-Jo;Yi, Jeong-Ah;Oh, Min-Cheol
    • Korean Journal of Optics and Photonics
    • /
    • v.18 no.2
    • /
    • pp.149-154
    • /
    • 2007
  • Bragg reflecting waveguide devices have been fabricated on a flexible polymer substrate utilizing a post lift-off process which could Provide excellent uniformity of grating Patterns on Plastic film. The 510 m Period Bragg grating pattern is made by two methods. In the first sample the grating is fabricated by exposing the laser interference pattern on a photoresist, and then it is inscribed by $O_2$ plasma etching. The grating pattern of the second sample is formed by a PDMS soft mold imprinting process. The selective adhesion property of SU-8 material for Au and Si surfaces is utilized to prepare a 100-mm thick plastic substrate. Single mode waveguide is fabricated on the plastic substrate using polymer materials with refractive indices of 1.540 and 1.430 for the core and the cladding layers, respectively. The Bragg grating on Plastic substrate does not show any degradation in its spectral response compared to the reference sample made on a silicon wafer.

Fabrication and characterization of silicon field emitter array with double gate dielectric (이중 게이트 절연막을 가지는 실리콘 전계방출 어레이 제작 및 특성)

  • 이진호;강성원;송윤호;박종문;조경의;이상윤;유형준
    • Journal of the Korean Vacuum Society
    • /
    • v.6 no.2
    • /
    • pp.103-108
    • /
    • 1997
  • Silicon field emitter arrays (FEAs) have been fabricated by a novel method employing a two-step tip etch and a spin-on-glass (SOG) etch-back process using double layered thermal/tetraethylortho-silicate (TEOS) oxides as a gate dielectric. A partial etching was performed by coating a low viscous photo resist and $O_2$ plasma ashing on order to form the double layered gate dielectric. A small gate aperture with low gate leakage current was obtained by the novel process. The hight and the end radius of the fabricated emitter was about 1.1 $\mu\textrm{m}$ and less than 100$\AA$, respectively. The anode emission current from a 256 tips array was turned-on at a gate voltage of 40 V. Also, the gate current was less than 0.1% of the anode current.

  • PDF

Fabrication of Scattering Layer for Light Extraction Efficiency of OLEDs (RIE 공정을 이용한 유기발광다이오드의 광 산란층 제작)

  • Bae, Eun Jeong;Jang, Eun Bi;Choi, Geun Su;Seo, Ga Eun;Jang, Seung Mi;Park, Young Wook
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.1
    • /
    • pp.95-102
    • /
    • 2022
  • Since the organic light-emitting diodes (OLEDs) have been widely investigated as next-generation displays, it has been successfully commercialized as a flexible and rollable display. However, there is still wide room and demand to improve the device characteristics such as power efficiency and lifetime. To solve this issue, there has been a wide research effort, and among them, the internal and the external light extraction techniques have been attracted in this research field by its fascinating characteristic of material independence. In this study, a micro-nano composite structured external light extraction layer was demonstrated. A reactive ion etching (RIE) process was performed on the surfaces of hexagonally packed hemisphere micro-lens array (MLA) and randomly distributed sphere diffusing films to form micro-nano composite structures. Random nanostructures of different sizes were fabricated by controlling the processing time of the O2 / CHF3 plasma. The fabricated device using a micro-nano composite external light extraction layer showed 1.38X improved external quantum efficiency compared to the reference device. The results prove that the external light extraction efficiency is improved by applying the micro-nano composite structure on conventional MLA fabricated through a simple process.